当前位置:文档之家› 数字电子技术课程设计报告

数字电子技术课程设计报告

数字电子技术课程设计报告

一、设计目的和任务:

本设计项目旨在设计一个数字钟,能够显示当前时间,并具备时间设置功能。主要任务包括:设计数字时钟的电路原理图、PCB布局,选取合适的数码管和时钟芯片,完成数字时钟的硬件组装和软件编程。

二、设计原理和方案:

1.数码管原理:数码管是一种显示设备,由8段共阳极(或共阴极)、7段共阴极(或共阳极)的LED组成。每个LED可以独立控制亮灭,通过对应的引脚控制可以达到显示不同数字的效果。

2.时钟芯片原理:时钟芯片是一种集成电路,能够提供精确的时间信号。通过和微处理器或微控制器的连接,可以实现对时间的读取和设置功能。

本设计方案采用四位共阴极的数码管显示当前时间,以及四个按键实现时间设置功能。时钟芯片选用DS1302,它具备低功耗、抗干扰和精准计时等特点,通过SPI接口连接到单片机。

三、硬件设计:

1.数码管显示电路:将四位共阴极数码管的8个段接口分别连接到单片机的GPIO口,通过控制GPIO口的电平变化,实现数码管显示0-9的数字。

2.时钟芯片连接电路:将DS1302的SCK、RST和DAT引脚分别接到单片机的SPI接口的对应引脚,以实现单片机和时钟芯片之间的信息交换。

3.按键电路:设计四个按键实现时间设置功能,通过连接到单片机的GPIO口,通过检测按键的状态变化来触发相应的时间设置操作。

四、软件设计:

1.时钟初始化:在程序启动时,先进行时钟芯片的初始化,设置年月日时分秒的初始值。

2.读取时间:通过SPI接口读取时钟芯片的时间信息,包括年月日时分秒。

3.显示时间:将读取到的时间信息转换成相应的数字,通过控制数码管的GPIO口实现数字的显示。

4.时间设置:通过检测按键的状态变化,触发相应的时间设置操作,将设置的年月日时分秒信息写入到时钟芯片中。

五、结果和分析:

经过硬件组装和软件编程,实现了数字时钟的设计。通过按键可以设置时钟的年月日时分秒信息,数码管能够准确地显示当前时间。通过与实际时间比对,验证了设计的精确性和稳定性。

六、存在问题和改进方向:

1.数字时钟的显示方式单一,可以考虑增加闹钟功能、温度显示等扩展功能。

2.数码管的显示亮度和清晰度可以进一步优化,可以考虑采用亮度可调的数码管或增加背光控制电路。

3.硬件布局可以优化,减少电路板的尺寸和布线的复杂度。

七、总结:

本设计通过设计数字时钟的电路原理图、PCB布局,选取适合的数码管和时钟芯片,完成了数字时钟的硬件组装和软件编程。通过实现时间的显示和设置功能,验证了设计方案的可行性和可靠性,在满足基本要求的同时,也存在一些可以优化和改进的地方。

《电子技术》课程设计报告-数字电子钟设计

《电子技术》课程设计报告-数字电子钟设计 一、背景介绍 数字电子钟是一个实时的计时器,它可以按照设定的时刻精确地表示时间。它使用微处理器和时钟芯片来处理时间。因此,它可以被视为一个微处理器系统,系统中含有存储器、计数器、报警功能等。最新的电子时钟如石英钟使用特制石英晶片来制定时钟。由于石英可以产生完美的电振动,因此可以更准确地检测时钟改变。 二、数字电子钟的设计原理 1、时钟驱动 电子时钟的操作需要一定的时间和精度,主要是依靠特殊的驱动器来实现的。驱动器有石英、硅、力学和光学等多种。其中石英芯片是电子时钟的核心部件并且最常用。可以让电子时钟每秒产生32千分之一秒的精度。 2、晶振电路 晶体振荡器电路是将电能转换成振荡信号和时钟信号的基础电路。在电子时钟中,晶振电路可以将3.3V的DC电源转换成正弦波信号。 3、控制电路 控制电路是接收电子时钟信号,并将其转换为可读取的数字信号的电路。它通过检测当前的时钟值与它预设的标准值,来决定是否需要重新设定。 4、显示电路 为了使时间显示准确,显示电路需要有一定的能力,它可以将控制电路经过变换后的数字转化为可视的数字或符号信号,比如LED。 我们首先使用PIC16F628A微控制器来控制数字电子钟,PIC16F628A是一款常用的单片机,在实现数字电子钟的最基本功能时天然的具有很多优势,即具有丰富的I/O口及高性能的CPU。 而在驱动这个数字电子时钟时,我们选择了普通的石英晶振,其工作电压为3.3V,频率为32.768kHz。它的作用是将电源电压转换成正弦波信号,然后此信号可以被PIC单片机读取,从而实现全电子时钟功能。 在处理每秒钟走过的时间时,我们使用计数器根据晶振输入的时钟信号逐渐计数,而当计数器计数到一定值时,PIC单片机就知道一秒的时间已经过去,然后继续进行计算. 最后,我们选用一个4位共阳极数码管来将这些数据转化为显示数字的动作,它从数据地址上读取数据,然后一次送到一位,就可以实时显示电子时钟的实时时间。

数字电子技术课程设计报告

一、设计任务及要求 通过对【数字电子技术】课程的学习,让同学掌握【数字电子技术】课程的根本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分表达这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时〞,“分〞,“秒〞。二、设计的作用、目的 〔1〕.在同学掌握【数字电子技术】课程的根本理论以及方法的根底上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 〔2〕.掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步稳固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 〔3〕. 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 〔4〕. 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器〔其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成〕、动态显示译码器、LED数码管显示环节、555定时器〔可以提供一个比拟精确的1Hz的时钟脉冲〕,时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

数字电子技术课程设计报告

数字电子技术课程设计报告 之数字频率计 ――目录第一章、设计指标 (2) 第二章、系统概述 2.1、设计思想 (3) 2.2、可行性论证 (3) 2.3、各功能的组成 (6) 2.4、总体工作过程 (7) 第三章、单元电路设计与分析 3.1、各单元电路的选择 (7) 3.2、设计及工作原理分析 (8) 第四章、电路的组构与调试 4.1、遇到的主要问题 (19) 4.2、现象记录及原因分析 (20) 4.3、解决措施及效果 (21) 4.4、功能的测试方法、步骤、设备、记录的数据 第五章、结束语 (21) 5.1、对设计题目的结论性意见及进一步改进的意向说明 (22) 5.2、总结设计的收获与体会 (23) 参考文献 (23)

第一章、设计指标 我们要设计一个测量TTL方波信号频率的数字系统。用按键选择测量信号频率。测量值采用4个LED七段数码管显示,并以发光二极管指示测量对象:频率(周期值)以及测量的单位:HZ (s)、KHZ(ms)。频率的测量范围有4个量程。 有如下指标: (1)测量结果显示4位有效数字。测量精度为万分之一。(2)频率测量范围:0.1HZ~999.9KHZ,分为4档。 第一档:100.1HZ~999.9HZ 第二档:1.000KHZ~9.999KHZ 第三档:10.00KHZ~99.99KHZ 第四档:100.0KHZ~999.9KHZ (3)量程切换可以采用两个按键手动切换或由电路控制自动切换。 (4)设计一个周期性方波产生电路输出频率计调试所需的信号。输出信号的频率范围与测量范围相同,分为4个 量程。再设置4个按键在每档范围内选择4为有效数字 的9~16个固定频率,最高位数值必须分布1~9,信号占 空比可以任意。

数字电子技术课程设计报告_电子拔河游戏机

数字电子技术课程设计报告 班级:信息0611 ;姓名:何海强;学号40550311 设计题目:电子拔河游戏机 同组成员:史立光、田劲、王萌、路长发;组长:何海强 功能描述: 1、整体描述:电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电 路。由一排16个LED发光二极管表示拔河的“电子绳”。由甲乙双方通过按钮开关使发光的LED管向自己一方的终点延伸,当延伸到某方的最后一LED管时,则该方获胜,并对获胜次数进行计数,连续比赛多局以定胜负。用键盘上的A键和Z键表示开关按钮。 用键盘上的S键赖代替清零信号,每次比赛前都要进行清零,并使按钮开关复位。 2、比赛开始,由裁判下达比赛命令后(,用空格键代表裁判信号,摁一下空格键),甲乙 双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。裁判信号由键盘空格键来控制。 3、“电子绳”由16个LED管构成,裁判下达“开始比赛”的命令后,摁一下空格键,位于 “电子绳”中点的LED发亮。甲乙双方通过按键输入信号,用键盘上的数字键A键Z 键来模拟,摁一下A向左移动,摁一下Z键向右移动。使发亮的LED管向自己一方移动,并阻止其向对方延伸,谁摁得快就向这一方移动。当从中点至自己一方的最后一个LED管发亮时,表示比赛结束,这时,电路自锁,保持当前状态不变,除非由裁判使电路复位,并对获胜的一方计数器自动加一。 4、记分电路用两位七段数码管分别对双方得分进行累计,在每次比赛结束时电路自动加 分。 5、双方得分计数器的清零信号由键盘上的数字键2,3键来实现。当比赛结束时,计分器清零,为下一次比赛做好准备。 方案设计: 1.总体设计思路(含电路原理框图): 电路的原理框图如下图所示:

毕业设计22006东莞理工学院数字电子技术课程设计报告

东莞理工学院电子工程系数字电子技术课程设计报告 姓名。班级: 学号: 指导教师: 日期:2007年1月13日

选题的意义: 彩灯循环的电路很多,循环方式更是五花八门,而且有专门的可编程彩灯集成电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的三彩灯循环控制器就是用计数器和译码器来实现,其特点用三个二极管能自动循环闪烁且能在晚上亮,白天不亮。 方案论证选择: 近年来,由于中,大规模集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中。大规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此,彩灯控制器总体方案设计如下: 1.根据总的功能和技术要求,把复杂的逻辑系统分解成若干个单元系统,单元的数目不宜太多,每个单元也不能太复杂,以方便检修。 2.每个单元电路由标准集成电路来组成,选择合适的集成电路及器件构成单元电路。 3.考虑各个单元电路间的连接,所有单元电路在时序上应协调一致,满足工作需求,相互间电气特性应匹配,保证电路能正常,协调工作。 电路设计: (1)、为了实现彩灯的七种变化,控制电路中必须有依次产生的七个序列脉冲,由它去控制彩灯的七种变化,实现彩灯的七种变化的循环显示。故 可设计一个七进制的计数器与3线―8线译码器组成控制电路的核心, 配合一些组合逻辑电路分别控制彩灯的各个状态。而由于灯的亮灭规律 是钟摆样的往复式,可将计数器改成可逆计数器,每完成一次计数循环, 由加法计数改为减法计数,下一次再由减法计数改为加法计数,如此循 环。计数器应能够自启动。 (2)、用光敏器件(光敏电阻、光敏二极管、光敏三极管等)检测周围环境的光强,以区分白天、夜晚,控制彩灯的亮灭。 (3)、设计任务中所要求并不复杂,用中小规模集成电路就能实现。本控制应

数字电子技术课程设计报告

数字电子技术课程设计报告题目:数字钟的设计与制作 学年:03/04学年学期:第二学期 专业:通信班级:通信022 学号:姓名:张慧慧 指导教师及职称:钱裕禄 讲师 时间:2004年6月28日— 2004年7月7日浙江万里学院电子信息学院

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法. 二、设计要求 (1)设计指标 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时; ⑤为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。 (2)设计要求 ①画出电路原理图(或仿真电路图); ②元器件及参数选择; ③电路仿真与调试; ④PCB文件生成与打印输出。 (3)制作要求自行装配和调试,并能发现问题和解决问题。 (4)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 三、原理框图 1.数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。

数字电子技术课程设计报告(数字钟的设计)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法. (1 ① ② ③ ④ ⑤ (2 ① ② ③ ④ (3 (4 1 2 稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。如图(b)所示,由CMOS非门U1与晶体、电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。输出反馈电阻R1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器。电容C1、C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。 (b)C MOS晶体振荡器(仿真电路) 3.时间记数电路

一般采用10进制计数器如74HC290、74HC390等来实现时间计数单元的计数功能。本次设计中选择74HC390。由其内部逻辑框图可知,其为双2-5-10异步计数器, 并每一计数器均有一个异步清零端(高电平有效)。 秒个位计数单元为10进制计数器,无需进制转换,只需将QA与CPB(下降沿有效)相连即可。CPA(下降没效)与1HZ秒输入信号相连,Q3可作为向上的进位信号与十位计数单元的CPA相连。 秒十位计数单元为6进制计数器,需要进制转换。将10进制计数器转换为6进制计数器的电路连接方法如图2.4所示,其中Q2可作为向上的进位信号与分个位的计数单元的CPA相连。 十进制-六进制转换电路 分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,只不过分个位计数单元的Q 3 器, (d Z 4 5 (f 6 制信号。 当时间在59分50秒到59分59秒期间时,分十位、分个位和秒十位均保持不变,分别为5、9和5,因此可将分计数器十位的QC和QA、个位的QD和QA及秒计数器十位的QC和QA相与,从而产生报时控制信号。 报时电路可选74HC30来构成。74HC30为8输入与非门。 四、元器件 1.四连面包板1块(编号A45) 2.镊子1把 3.剪刀1把 4.共阴八段数码管6个 5.网络线2米/人

数电课程设计实验报告

目录 1. 课程设计任务书 (1) 2. 课程设计方案论证 (2) 3. 课程设计电路原理图 (5) 4. 课程设计电路原理图的调试 (8) 5. 课程设计的心得体会 (8) 6. 参考文献 (9) 附录1原件清单 (9)

《数字电子技术》课程设计任务书 一、设计题目:多功能数字钟的设计 二、设计任务与要求: 1. 时钟显示功能,能够以十进制显示“时”、“分”。其中时为24进制,分为60进制。 三、设计内容与步骤: 1. 查阅相关资料; 2. 完成设计方案; 3. 芯片选定及各单元功能电路分析; 4. 画出整体电路原理图(实验); 5. 调试电路确认电路可行性后方可焊接电路; 6. 完成设计报告。 四、设计计划与进度安排: 1. 查阅相关资料; 2. 完成设计方案及单元电路; 3. 完成整体电路原理图(实验); 4. 并完成设计报告。 五、设计材料与成果要求: 1.完成整体电路设计; 2. 提交设计报告。 六、设计考核要求: 课程成绩分优秀、良好、中等、及格、不及格。由设计报告结合实验考核。 七、设计参考书目: 《数字电子技术基础》第五版阎石编著高等教育出版社 2006年 成绩评定表

课程设计方案论证 一.振动器电路 方案一:由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源,如图①所示为555构成的多谐振荡器。 图① 方案二:选用石英晶体构成振荡器电路,产生时间标准信号,如图②所示为石英晶体构成的振荡器电路。 图②

方案三:由集成逻辑门与RC 组成的时钟源振荡器。如图③所示为逻辑门与RC 构成的振动器。 图③ 本课程设计采用的是方案一,由555与RC 组成多谐振荡器。 二.分频器电路 通常,数字钟的振荡器输出频率较高,为了得到1Hz 信 号输入,需要对振荡器的输出信号进行分频。 方案一:可选用14级二进制串行计数/分频器CD4060得到精确频率。CD4060计数为14级2进制计数器,可以将32768Hz 的信号分频为2Hz 。欲得到1Hz 信号,还需要加入分频电路。 方案二:利用计数器级联,选用74LS90,每片为1/10分频器,三片级联正好能够得到1Hz 信号脉冲。 本课程设计采用的是方案二,用计数器74LS90级联。 14级二进制串行 计数/分频器 分频电路 1HZ

数字电子技术课程设计电子秒表

数字电子技术课程设计电 子秒表 The following text is amended on 12 November 2020.

数字电子技术课程设计报告题目:电子秒表的设计 专业: 班级: 姓名: 指导教师: 课程设计任务书学生班级:学生姓名:学号: 设计名称:电子秒表的设计 起止日期: 指导教师:***

目录 绪论- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 4 第一章、设计要求

设计任务及目的- - - - - - - - - - - - - - - - - - - - - - -- - - - - - - - -5 系统总体框图- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 5 、设计方案分析- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -6 第二章、电路工作原理及相关调试 电路工作原理- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 7 相关调试- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -- 11 第三章、实验总结 附录A 电子秒表原理图 附录B 相关波形 元件清单 参考文献 绪论 随着电子技术的发展,电子技术在各个领域的运用也越来越广泛,渗透到人们日常生活的方方面面,掌握必要的电工电子知识已经成为当代大学生特别是理工类大学生必备的素质之一。 电子秒表是日常生活中比较常见的电子产品,秒表的逻辑结构主要由时基电路、分频器、二一五一十进制异步加法记数器、数据选择器和显示

数字电子技术基础课程设计实验报告

数字电子技术课程设计(数字时钟逻辑电路的设计与实现) 学院:信息学院 班级: 学号: 姓名:刘柳 指导教师:楚岩 课设时间:2009年6月21日—2009年6月26日

一摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。诸如按时自动打铃,时间程序自动控制,定时启闭路灯,定时开关烘箱,通断动力设备,甚至各种定时电气的的自动启用等。这些都是以数字时钟作为时钟源的。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。 经过了数字电路设计这门课程的系统学习,特别经过了关于组合逻辑电路与时序逻辑电路部分的学习,我们已经具备了设计小规模集成电路的能力,借由本次设计的机会,充分将所学的知识运用到实际中去。二主要技术指标 1.设计一个有时、分、秒(23小时59分59秒)显示的电子钟 2.该电子钟具有手动校时功能 三方案论证与选择 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号的频率较高,因此,需要进行分频,使高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1HZ)。经过分频器输出的秒脉冲信号到计数器中进行计数。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发一个“分脉冲”信号,该信号将作为

“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。此时需要分别设计60进制,24进制计数器,各计数器输出信号经译码器到数字显示器,使“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何计时装置都有误差,因此应考虑校准时间电路。校时电路一般采用手动调整。手动调整可利用手动的节拍调准显示时间。 方案原理图如下: 电路的选择和比较: 1. 振荡电路及分频电路 方案一: (1)采用石英晶体振荡器

数电课程设计报告

数电课程设计报告 一、引言 数电课程设计是电子信息类专业中的重要课程之一,通过此课程的学习和设计实践,可以帮助学生更好地掌握数字电路的设计原理和方法。本篇文章将对一次数电课程设计的过程进行详细介绍和总结。 二、设计背景 本次课程设计的背景是设计一个基于FPGA的数字时钟电路。数字时钟是现代生活中常见的电子产品,通过本次设计可以帮助学生理解数字时钟电路的工作原理,并锻炼其数字电路设计能力。 三、设计思路 本次设计的数字时钟电路主要由时钟模块、计数模块和显示模块组成。时钟模块负责产生稳定的时钟信号,计数模块负责对时钟信号进行计数,显示模块负责将计数结果以七段数码管的形式显示出来。 1. 时钟模块设计 时钟模块使用基于晶振的时钟源,通过频率分频电路将晶振信号分频得到所需的时钟信号。为了保证时钟信号的稳定性,我们选择了一个高质量的晶振,并使用适当的电路进行滤波和放大,以提高信号质量和稳定性。 2. 计数模块设计

计数模块使用可编程逻辑器件FPGA来实现。我们根据时钟信号的频率和需要的计数范围选择了适当的FPGA型号,并编写了Verilog HDL代码来实现计数功能。在设计过程中,我们考虑到了计数的起始值和终止值,以及计数的方向(递增或递减),并通过适当的控制信号进行设置。 3. 显示模块设计 显示模块使用七段数码管来显示计数结果。我们根据计数结果的位数选择了适当数量的七段数码管,并使用数码管驱动电路将计数结果转换为对应的显示信号。为了提高显示效果,我们采用了适当的亮度控制电路和刷新频率控制电路。 四、设计实现 根据以上设计思路,我们进行了相应的硬件电路设计和软件代码编写。在硬件设计方面,我们完成了时钟模块、计数模块和显示模块的原理图设计,并进行了电路仿真和验证。在软件代码编写方面,我们使用Verilog HDL语言编写了相应的模块代码,并进行了功能仿真和时序分析。 五、实验结果与分析 经过实验验证,我们的数字时钟电路设计达到了预期的效果。时钟信号稳定,计数范围和方向可通过控制信号进行设置,计数结果准确显示在七段数码管上。实验过程中,我们还发现了一些问题并进

数字电子技术_课程设计报告_电梯控制讲解

健雄职业技术学院 题目名称:电梯控制 院系:电气工程学院 专业班级:电子工艺与管理 学生姓名:徐小可 学号: 41 指导教师:孙艳 完成时间:2012年11月30

目录 摘要 0 一,设计目的及意义 (1) 目的: (1) 意义: (1) 二,整体设计 (2) 2.1 设计目标 (2) 2.2 设计要求 (2) 2.3 整体设计流程图 (3) 三,电梯控制系统的实现 (3) 3.1 实现功能 (3) 3.2 实现电路 (4) 3.2.1 楼层的输入电路 (4) 3.2.2 555定时电路 (4) 3.2.3楼层显示电路 (5) 3.2.4四层电梯控制系统实现总电路 (6) 3.3主要元器件介绍 (6) 3.3.1 译码器 (6) 3.3.2数码管 (7) 3.3.3 D触发器 (8) 四,实验总结 (9) 4. 1 设计中主要出现的问题 (9) 4.2 尚待解决的问题 (9) 4. 3 心得体会 (10)

健雄职业技术学院 摘要 随着我国经济的高速发展,微电子技术、计算机技术数电技术也得到了迅速发展。电梯是随着高层建筑的兴建而发展起来的一种垂直运输工具。多层厂房和多层仓库需要有货梯;高层住宅需要有住宅梯;百货大楼和宾馆需要有客梯,自动扶梯等。在现代社会,电梯已像汽车、轮船一样,成为人类不可缺少的交通运输工具。据统计,美国每天乘电梯的人次多于乘载其它交通工具的人数。当今世界,电梯的使用量已成为衡量现代化程度的标志之一. 设计利用D触发器74LS74作为控制电梯按钮(即触发电平),经过编码器74LS148及比较器74LS85、计数器74LS192来实现其电梯控制功能。电路使用555的定时功能来控制上一层楼或下一层楼所用的时间,利用数码管来显示所到楼层数,并用四个发光二极管来表示四层楼。以此来设计了一个四层电梯控制系统。 关键字:D触发器74LS74;编码器74LS148;比较器74LS85;计数器74LS192;555定时器;数码管;

数字电子技术课程设计报告

数字电子技术课程设计报告 题目:医院病人紧急呼叫系统 专业:电子信息工程 班级: 08电子(2)班 组别:第五组 姓名:陶芳丽王晓利 李若泽周倩倩 陈艳郭伟 指导教师:秦忠基 设计日期:2010-6-21

医院病人紧急呼叫系统设计报告 摘要:该电路主要是针对医院病人紧急呼叫来设计的。通过病人按下按钮来呼叫的,只要病人按下按钮,锁存器来锁存信息,再经过优先编码器来完成信号优先级的判别,并提供相关的显示输出编码,然后通过译码器译出当前最高级别的呼叫,并显示出来,待医护人员处理完后,清除该呼叫的触发器信号,转而对其他呼叫输入进行判别处理。 关键词:呼叫锁存信息优先编码译码清除 一、设计目的作用 该电路主要是为医院病人紧急呼叫设计的。通过该电路的设计来实现病人和医护人员的交流,病人按下按钮,再通过锁存器锁存信息,优先编码器的最高级别判别,然后通过译码器译出当前最高级别的呼叫,来通知医护人员对所来信息进行处理,这样就不需要病人直接呼喊来通知医护人员了,既保证了医院的秩序,又解决了病人的紧急呼叫,病人也不会面临危险。而且医护人员处理完后,对信息进行清除,以防该信息对后来的信息进行干扰,保证其正常运行。该电路给医院提供了方便,在医院方面得到了广泛的应用。 二、设计要求 (1).当病人紧急呼叫时,产生声、光提示,并显示病人编号。 (2).根据病人病情设置优先级别。当有多人呼叫时,病情严重者优先。 (3).医护人员处理完当前最高级别的呼叫后,系统按优先级别显示其它呼叫病人的编号。 三、设计的具体实现

1、系统概述 本电路是针对病人紧急呼叫而设计的。该电路有四个按钮,只要任意一个病人按下按钮,该信息就会被锁存在对应的74LS279中,其中74LS279是非S2非R2端均为高电平时来锁存信息的,病人按下按钮,非R1端立即由高电平变为低电平,锁存器锁存信息,然后将四路锁存器的输出接入74LS148优先编码器其中的四个输入端D1D2D3D4,通过优先编码器来完成信号优先级的判别,同时用74LS04D来驱动译码器工作,并将编码器输出结果在数码管上显示出来,这时医护人员会根据数码管上显示的数字去处理病人的紧急情况,待医护人员处理完后,再按下与触发器相连的清除按钮清除信息。此时是将编码器输出端A0A1A2接入74LS138译码器的输入端ABC,译好后,将译码器的输出接入对应的触发器中的D,只要医护人员按下按钮,给相应的触发器一个脉冲,触发器输出的清零信号立即反馈到相应的74LS279中,使得非R为低电平,这样非R2非S2不会同时为高电平,锁存器锁存信息的条件不符合,故信息清除。接着通过优先编码器判断锁存器中信息的优先级别,重复以后的工作,如果没有信息,数码管显示0。该电路就是按照以上原理工作的。 2、单元电路设计与分析

数字电子技术实验与课程设计报告

数字电子技术实验与课程设计报告 ____交通灯控制电路 学生班级: 学生姓名: 学生学号: 指导老师: 实验时间: 实验地点:

一、课程设计题目 交通灯控制电路 二、设计要求与任务 1、设计一个十字路口的交通灯控制电路,要求不 考虑主次干道的通行优先情况,仅对两个道路 的交汇路口进行红、绿、黄三色信号灯的控制 电路设计。红灯是学号的时间,黄灯五秒,绿 灯是红黄时间的差。 2、用红灯秒数正计时,从0开始计,计到绿灯和 黄灯的秒数结束,灯的颜色发生转变。 3、在绿灯转为红灯时,要求黄灯先亮5秒钟,才 能变换运行车道;黄灯亮时,要求每秒闪亮一 次。 三、电路原理图和模块参考电路 1、原理框图 交通控制电路是由计时器、控制器、译码器组成的电路,如图1-1。计时器是由两片74LS160来完成,译码器是74LS139,双JK触发器是74LS112。

图1 2、信号灯状态与车道运行状态 S0:H干道的绿灯亮,车道通行,人行道禁止通行;F干道的红灯亮,车道禁止通行,人行道通行。 S1:H干道的黄灯亮,车道缓行,人行道禁止通行:F 道车道的红灯亮,车道禁止通行,人行道通行。 S2: H干道的红灯亮,车道禁止通行,人行道通行; F车道的绿灯亮,车道通行,人行道禁止通行。 S3: H干道的红灯亮,车道禁止通行,人行道通行; F道的黄灯亮,车道缓行,人行道禁止通行。 四、各电路的设计 1、计时电路 原理:通过74LS160(2片) 采用串行同步整体置 数级连和下一个状态的相应控制来实现44秒和

49秒。 原器件的选择及参数 假设选集成计数器74160〔2片〕,采用同步整体置数。 74160的功能表表1 芯片引脚: 图2 状态编码与信号灯关系表表2

数字电子技术课程设计报告

数字电子技术课程设计报告题目:数字显示计时报警器 班级: 姓名: 指导老师: 组号:第六组

目录 一、课程设计的目的————————————————————1 二、设计要求———————————————————————1 三、方案设计与论证----------------------------------------1 四、设计原理与原理框图 4.1设计原理————————————————————---2 4.2原理框图—————————————————————-2 五、数字显示计时报警器结构 5.1 外部10s脉冲CP电路———————————————-2 5.2 D触发器构成的10秒显示灯—————————————3 5.3计时电路数码管显示——————————————-——4 5.4改变报警时间电路———————————————-——5 六、电路板焊接————————————————————-—-5 七、实验遇到的问题及解决方案————————————-——-5 八、设计结果——————————————————————--6 九、最后总结——————————————————————--6 十、主要参考资料——————————————————-——-6 十一、附录 11. 1电路仿真图——————————————————----6 11.2电路AD—SCHDoc画图—————————————-----7 11.3电路PCBDoc画图——————————————————8 11.4实物图——————————————————--------9 十二、操作步骤--------------------------------------------10 十三、元件清单--------------------------------------------11

数字电子技术课程设计报告LED矩形点阵

【设计题目】LED点阵循环显示设计 【设计任务及要求】 利用EDA/SOPC试验开发平台提供的16*16点阵LED以及EP2C35核心板,实现循环显示设定容,显示容为自己的“学号名字”。 (1)手动生成“学号”这几个字符在16*16点阵LED上的字摸(即控制某些LED亮,某些LED灭,可下载字摸软件帮助确定)。(2)实现循环显示“学号”,并要求左移 (3)扩展要求:自主设计(如控制循环速度,方向等)。 设计原理及方案: 1、16*16点阵LED部结构如下图所示。 2、总体设计框图。

FPGA 3、各子模块的设计: (1)分频,扫描 module saomiao (clk_50Mhz,clk_1k); input clk_50Mhz; output clk_1k; reg[24:0]t; reg clk_1k; always (posedge clk_50Mhz) begin if (t<25000) begin t<=t+1; clk_1k<=0; end

else if(t<50000) begin t<=t+1; clk_1k<=1; end else t=0; end endmodule 封装生成的模块如下: (2)分频,移动,控制速度 module yidong (clk_50Mhz,clk_fourhz,k2,k3); input clk_50Mhz,k2,k3; // 输入端口声明output clk_fourhz; // 输出端口声明 reg[24:0] count,ccount; reg clk_fourhz; always (posedge clk_50Mhz) begin if ((k2==0) && (k3==0)) ccount<=50000000;

相关主题
文本预览
相关文档 最新文档