当前位置:文档之家› 双字节Booth乘法器的优化设计(精)

双字节Booth乘法器的优化设计(精)

双字节Booth乘法器的优化设计(精)
双字节Booth乘法器的优化设计(精)

第 44卷第 1期

复旦学报 (自然科学版 V o1. 44, N o. 12005年 2月 Journal of Fudan University (Natural Science Feb. , 2005

文章编号 :042727104(2005 0120085205

Ξ双字节 Booth 乘法器的优化设计

朱一杰 , 张曦 , 俞军

(复旦大学专用集成电路与系统国家重点实验室 , 上海 200433 摘要 :在分析改进 Booth 算法双字节 (16bit 乘法器的基础上 , 提出一种并行的乘法器结构 , 并且在最后的快速进位链中运用了新的设计 , 提高了乘法器的速度 , 相对于传统的结构减少了一位全加器的数量 , 达到减小电路规模和芯片面积 , 降低乘法器功耗的目的 .

关键词 :专用集成电路 ; 改进 Booth 算法 ; 进位保留加法器 ; 阵列操作 ; 并行乘法器

中图分类号 :T N 403文献标识码 :A

在数字信号处理中 , 2法 , 即通过连续地进行加法和移位来实现 . , 则 ×2个乘法器的时钟周期才能给出 2N 位乘积 , [1].

2, .

这 2. 前者的 N ×N 乘法器需 N 个加法器和 , N ×N 乘法器需 N 2个加法器和 N 2个部分积的与门 .

为了进一步提高运算速度 , 通常采用下面 2种方法改进 :①用斜向进位代替横向进位 , 加速部分积的相加 , 即采用 Carry 2Save Adder (以下简称 CS A ; ②根据乘

数中 0/1结构的特征 , 对于成串的“ 1” , 利用 2i +k -1+2i +k -2+… +2i =2i +k -2i , 减少部分积的数目 .

在第 2种方法中 , 根据移位位数可将此类算法分为两类 :变长位数移位方式和固定位数移位方式 . 通过变长位数移位进行的乘法充分考虑了乘数中不同长度的“ 1” 串 , 但这必然使算法的速度强烈依赖于乘数中 0/1的结构 , 因此难以进行统一时序控制和阵列化设计 . 固定位数移位方式克服了这些缺点 , 因而获得了广泛的运用 , 特别是改进 Booth 算法 [2]很受欢迎 .

在经典 Booth 算法中 , 每次检验 2位 , 完成 N 位乘法需 N 次移位和平均 N /2次加法 ; 在改进 Booth 算法中 , 每次检验 3位 , 完成 N 位乘法需 N /2次移位和平均N /2次加法 [3].

表 1列举了改进 Booth 算法的编码规则 , 其中 y i +1与 y i 为考察位 , y i -1为附加考察位 , PP i 为产生的部分积 . 虽然有 8种组合 , 但真正进行的运算只有 3

种 :+0, +X , +2X , 负项通过补码运算变成加法 .

为了提高乘法器的速度 , 部分积的相加均采用 CS A 来实现 , 一种实用的 8位乘法器的加法阵列结构如图 1所示 . 图中的小框为基本阵列单元 1bit 全加器 , 框中标识的变量就是全加器的输入 , 如果没有标识 , 说明本全加器除了连线输入外 , 其他输入均为 0. 最后一次输出终值的加法不采用 CS A , 而是采用了带超前进位链的高速加法器 [4]. 当乘数和被乘数变为双字节 (16bit 后 , 加法阵列结构在位数上和层次上也随之增大 . 所需的 CS A 单元总数将达到 173之多 , 而且串行运算的级数也增大到 7级 CS A 加上一级高速加法器 . 这样的结构无论

在面积和速度上都是不理想的 . 本文提出用并行结构代替串行结构来提高电路的整体性能 .

Ξ收稿日期 :2004203222

作者简介 :朱一杰 (1978— , 男 , 硕士 ; 通讯联系人俞军 .

表 1改进 Booth 算法规则

T ab. 1 M odified Booth Alg orithm y i +1y i y i -1PP i 000+00

01+X 0

10+X 011+2X 1

00-2X 1

01-X 1

10-X 111-

图 1 8位乘法器中的加法阵列结构

Fig. 1 CS A architecture of 82bit multiplier

1并行 Booth 乘法器

在改进 Booth 算法中 , 每一次检验 3位 , , . 所以对于 16位的乘法一共需要检验8次 , 1个检验解码器的话 , 那么这 8. 如果同时使用 2, , 这也就是并行结构的雏形[5].

CS A 单元 . 每次检验解码结果真正有效的数据实际上只有 16位 , 由于存在最低位的位差 , 要将低位的检验解码结果进行符号位扩展 . 检验位的位差越大 , 所需进行的符号位扩展也越大 . 所以将高 8位的检验解码结果和低 8位的检验解码结果分别累加 , 这样所用加法阵列的规模应该是最小的

.

图 2加法阵列

Fig. 2 CS A Architecture

还有一点需要考虑就是补码的校正位 Y , 如图 1中的 Y 1、 Y 3等 . 当检验解码结果是 0、 +X 或 +2X 时 , Y 取 0; 当检验解码结果是 -X 和 -2X 时 , 结果取反且Y 取 1. 如图 1所示 , 每次检验解码后所需加的校正位都和下一次的检验解码结果放在同一行加法器中累加 , 所以最后会有一行加法器只用来累加最后一次检验解码中的校正位 . 在并行结构中 , 两边分别累加 , 两边也各有一行加法器只用来累加最后的校正 6

8复旦学报 (自然科学版第 44

位 . 不过由于两边最后的校正位不在同一位 , 所以可以把两行并成一行 , 以减少 CS A 单元的数量 .

基于上述讨论 , 本文设计的并行结构如下 .

①将高 8位的检验解码结果和低 8位的检验解码结果分别累加 .

②两边的第 1、第 2行加法阵列和图 1相似 , 只是高 8位的加法阵列中最低位是 bit15.

③在设计第 3行加法阵列时两边有所不同 . 高 8位处使用快速进位链加法器得出临时结果 ; 低 8位处再用一行加法器将 2个校正位加上 .

④然后将高 8位的临时结果累加入低 8位 , 最后用快速进位链加法器得出最终的结果 .

图 2(a 中 E ,F , G 和 H 为高 8位的检验解码结果 ,T 23— T 0为临时结果 , 将累加到低 8位的加法阵列中 . 第 1行中 E16(E 的符号位扩展 , 下同共 8位 ,F16共 6位 ,G 16共 4位 ; 第 2行中 H16共 2位 , 且最低位不需要 CS A 单元 , 所以共需 47个 CS A 单元 .

图 2(b 中 A 、 B 、 C 和 D 为低 8位的检验解码结果 ,T 23— T 0为高 8位中得到的临时结果 . 第 1行中 A16共 16位 ,B16共 14位 ,C16共 12位 ; 第 2行中 D16共10位 , 最低位不需要 CS A 单元 ; 第 3行中 Y 15是最高检验解码中的校正位 , 在bit14处 ,Y 7是乘数第 7、 8位检验解码中的校正位 , 在 bit6处 , 且最低 2位不需要

CS A 单元 ; 第 4行中 24个 CS A 单元用于累加临时结果 T. 所以共需 117个 CS A 单元 .

将图 2(a 与 (b 合并就得到并行结构的双字节 Booth 乘法器 . 该乘法器一共需要CS A 单元 , 运算一次所需级数为 4级 CS A 加上 1级高速加法器 .

无论是串行结构还是并行结构 , 在 Booth , 号位扩展 , . . 对于任意一个有符号数 SXXX , S , , 可以将其改写为 :=1111 S XXX +1, 1能预先加好 , 形成一个补偿向量 . 乘法器的字节越长 , 采用此方法所能节省的计算时间和硬件资源就越少 .

如果在加法阵列中每一行之间加入寄存器 , 那么就能形成一个流水线型的Booth 乘法器 , 进一步加快速度 . 设计低 8位加法阵列时 , 先加 2位校正位 , 再加高8位的临时结果 , 以配合高 8位加法阵列的时序 . 当然 , 这样做也是有代价的 , 即所需的解码和控制电路将会比较复杂 [6], 这里就不作详细论述了 . 2快速进位链的设计

在加法阵列的最后一行需要得出最终的结果 , 而不像前面行那样可以将和与进位一起传给下一行 . 如果使用串连的进位链 , 那么 N 位的加法就需要 N 个全加器的延迟时间之和 . 如果使用超前进位链又使用了太多的面积 [7].

很多文献都对上面介绍的 2种进位链有详细的描述 , 可以发现这 2种进位链有一个共同点 , 就是所有的计算都是从最低位开始往高位计算 . 那么能否从最低位和最高位两端同时开始计算 , 最后在中间某一位连接上以节省时间呢 ?

将图 2(b 中的 6位快速加法器展开如图 3(见第 88页 . 从图中可以看出 , 每 1位都有 2个输入端 , 而这一位的进位不仅与这 2个输入端有关 , 而且还与低一位的进位有关 . 但是如果这 2位输入都是 0或都是 1的话 , 进位就与低一位的进位没有关系了 . 利用这一原理将这 2位的输入分成 3种情况 , 如表 2(见第 88页 .

(说明位的状态有 3种 , u 表示不确定 , r 表示本位保持输入之和 , i 表示本位为输入之和的非

表 2中的第 1列为该位当前的判定结果 , 第 2例为比该位低的某位的 2个或者3个输入之和 . 如果一位已经被它低的某位输入判定为 r 或者 i , 那么就无需由更低的位输入判断了 , 所以表中没有列出 . 表中的第 3,4列为由输入判定的结果 , 以该位是否为最低位分成 2例 , 其中的差别就是输入的个数和在输入端为 1时的判定结果 . 这里的最低位就是指算法中两头运算在中间接连的那一位 . 如果是最低位 , 则有 3个 (多出 1个次低位的进位 , 当输入为 1时 , 最终的判定结果为 r .

78第 1期朱一杰等 :双字节 Booth 乘法器的优化设计

表 2进位预先判断规则表

T ab. 2 Prejudging rule of carry 位的初状态低位输入中间位最低位 D[k ]=u 0D[k +1]=r D[k +1]=r D[k ]=u 1D[k +1]=u D[k +1]=r D[k ]=u 2D[k +1]=i D[k +1]=

i

图 3 6位高速加法器

Fig. 3 62bit high 2speed adder

以图 3中的 6位高速加法器为例 . 输出端的起初状态都为 u . C out 的状态先由bit5的输入 M5和 N5判断 , 如果判定结果仍为 u , 则与 P5一起再由 bit4的输入 M4和 N4判断 , 依次类推 . 当判断完最低位 (中间位后 , 所有位的状态都已确定 , 然后根据本位的状态与输入之和得出最终的结果 . 至于中间位的选择 . 就显得相当灵活 , 可以根据工艺条件选定 , 使得两边所需的逻辑运算时间大致相等即可 .

3实际验证

对本文设计的双字节并行 Booth (其中加法器为 6位 , 改进进位链的中间位取为 bit3 . , DC , . 可以看出 , 并行结构无论在面积 (S 、门数和时延 (t

芯片面积、降低功耗的目的 ; , 而超前进位链在面积上是最大的 , 10%左右 , 达到了预期效果 .

T ab. 3 C on of parallel and serial architecture 结构类型S /μm 2门数 t dmax /ns 并行结构 905445526. 34串行结构 1075216488. 84

表 4各种进位链的验比较

T ab. 4 C om paris on of each kinds of Carry 2chain 进位链类型S /μm 2t dmax /ns 串行进位链 2816. 62. 36超前进位链 5103. 41. 67改进进位链 4596. 41. 67

本文介绍了改进 Booth 算法及 8位乘法器的结构 , 并指出了这种结构在实现 16位乘法器中暴露出来的弊端 . 提出了一种用并行结构设计的改进 Booth 乘法器结构 . 该并行结构将运算级数由原来的 7级 CS A 加上 1级高速加法器改进为现在的4级 CS A 加上 1级高速加法器 , 提高了运算速度 . 在规模上 , 所需 CS A 单元个数由原来的 173减少至 164, 达到了减小电路规模和芯片面积 , 降低乘法器功耗的目的 . 另外还提出了一种新的快速进位链算法 , 这种算法在控制电路规模的基础上加快了运算速度 , 并且可以通过调节中间位来达到最佳效果 .

参考文献 :

[1]李伟华 . V LSI 设计基础 [M].北京 :电子工业出版社 ,2002.

[2]韩雁 . 专用集成电路设计技术基础 [M].成都 :电子科技大学出版

社 ,2000.

[3] E fstathiou C , Verg os H T ,Nikolos D. M odified booth m odulo 2n

21multipliers [J].Computer s , IEEE Transactions on , 2004, 53(3 :3702374.

[4]沈绪榜 . 超大规模集成系统设计 [M].北京 :科学出版社 ,1991.

[5] C ooper A R. Parallel architecture m odified Booth multiplier [J].Circuits , Devices and Systems , IEEE Proceedings G, 1988, 135(3 :1252128.

[6] Rao V M ,N owrouzian B. A novel approach to the design and hardware im plementation of high 2speed digit 2serial m odi 2 fied 2Booth digital multipliers [J].Circuits and Systems ,1997, 3:1952219551.

88复旦学报 (自然科学版第 44

[7]谢永瑞 . V LSI 概论 [M].北京 :清华大学出版社 ,2002. Architecture Optimization of Word 2length Booth Multiplier

ZHU Y i 2jie ,Zhang X i ,Y u J un

(ASIC &System State K ey Laboratory , Fudan Univer sity , Shanghai 200433, China

Abstract :Based on introducing m odified Booth alg orithm and its realization in w ord 2length multiply ,a novel parallel multiplier architecture is developed , and a new architecture is als o used in high 2speed adder. These im provements accelerate the whole multiplier and decrease the number of carry 2save adders.

K eyw ords :ASIC ; m odified Booth alg orithm ; carry 2save adder ; array processing ; parallel multiplier (上接第 79页

[4] Proakis J G. Digital C ommunications ,4th Ed [M].New :2[5] Ed fors

O ,Sandell M ,Wils on S K, et position [J].IEEE Trans

Commun (7 A N e w Adaptive Modulation Algorithm

for OFDM System

CHEN Hao 2min , X U Qiao 2yong , WAN G Z ong 2xin

(Department o f Communication Science and Engineering , Fudan Univer sity , Shanghai 200433, China

Abstract :A new adaptive m odulation alg orithm for OFDM system id proposed. The alg orithm adopts adaptive bit loading and power allocation while maintaining constant throughput. C om pared with fixed m odulation scheme ,this alg orithm can greatly im 2prove the Bit Error Rate per formance and system throughput. This is a realizable alg orithm because of its small com putational com plexity. S imulation results show that subcarrier grouping just has a little in fluence on system per formance. Meanwhile the im per fect channel estimation has little effects on the present alg orithm.

K eyw ords :communication technology ; OFDM; adaptive m odulation ; throughput 9

8第 1期朱一杰等 :双字节 Booth

乘法器的优化设计

计算机组成原理阵列乘法器课程设计报告

. 课程设计

. 教学院计算机学院 课程名称计算机组成原理题目4位乘法整列设计专业计算机科学与技术班级2014级计本非师班姓名唐健峰 同组人员黄亚军 指导教师 2016 年10 月 5 日

1 课程设计概述 1.1 课设目的 计算机组成原理是计算机专业的核心专业基础课。课程设计属于设计型实验,不仅锻炼学生简单计算机系统的设计能力,而且通过进行设计及实现,进一步提高分析和解决问题的能力。 同时也巩固了我们对课本知识的掌握,加深了对知识的理解。在设计中我们发现问题,分析问题,到最终的解决问题。凝聚了我们对问题的思考,充分的锻炼了我们的动手能力、团队合作能力、分析解决问题的能力。 1.2 设计任务 设计一个4位的二进制乘法器: 输入信号:4位被乘数A(A1,A2,A3,A4), 4位乘数B(B1,B2,B3,B4), 输出信号:8位乘积q(q1,q2,q3,q4,q5,q6,q7,q8). 1.3 设计要求 根据理论课程所学的至少设计出简单计算机系统的总体方案,结合各单元实验积累和课堂上所学知识,选择适当芯片,设计简单的计算机系统。 (1)制定设计方案: 我们小组做的是4位阵列乘法器,4位阵列乘法器主要由求补器和阵列全加器组成。 (2)客观要求 要掌握电子逻辑学的基本内容能在设计时运用到本课程中,其次是要思维灵活遇到问题能找到合理的解决方案。小组成员要积极配合共同达到目的。

2 实验原理与环境 2.1 1.实验原理 计算机组成原理,数字逻辑,maxplus2是现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。 用乘数的每一位去乘被乘数,然后将每一位权值直接去乘被乘数得到部分积,并按位列为一行每一行部分积末位与对应的乘数数位对齐,体现对应数位的权值,将各次部分积求和得到最终的对应数位的权值。 2.2 2.实验环境 2.2.1双击maxplu2II软件图标,启动软件 (1).新建工程,flie->new project ....,出现存储路径的选项框,指定项目保存路径并且为工程命名,第三行设置实体名,保持与工程名一致。点击OK

乘法器课程设计

摘要:基于VHDL的数字系统设计具有设计技术齐全、方法灵活、支持广泛等优点,同时也是EDA技术的重要组成部分.文章用VHDL语言设计了左移法和进位节省法实现的两种组合乘法器,通过功能仿真,对两种乘法器的性能进行了比较,从而得知后者的传输延迟时间小,即速度较快.通过设计实例,介绍了利用VHDL语言进行数字系统设计的方法. 关键词:VHDL语言左移法进位节省法 Abstract:Digital system design based on VHDL has complete design techniques, methods, the advantages of flexible and wide support, at the same time also is the important component of the EDA technology. The article using VHDL language to design the left shift method and carry save method to realize the combination of two kinds of multiplier, through the function simulation, compares the performance of the two kinds of multiplier, which the latter's small transmission delay time, namely fast. Through the design example, introduced the method of using VHDL language to design digital system. Keywords:VHDL language ,left shift method ,carry save method

模拟乘法器AD834的原理与应用

模拟乘法器AD834的原理与应用 1.AD834的主要特性 AD834是美国ADI公司推出的宽频带、四象限、高性能乘法器,其主要特性如下: ●带符号差分输入方式,输出按四象限乘法结果表示;输出端为集电极开路差分电流结构,可以保证宽频率响应特性;当两输入X=Y=±1V时,输出电流为±4mA; ●频率响应范围为DC~500MHz; ●乘方计算误差小于0.5%; ●工作稳定,受温度、电源电压波动的影响小; ●低失真,在输入为0dB时,失真小于0.05%; ●低功耗,在±5V供电条件下,功耗为280mW; ●对直通信号的衰减大于65dB; ●采用8脚DIP和SOIC封装形式。 2.AD834的工作原理 AD834的引脚排列如图1所示。它有三个差分信号端口:电压输入端口X=X1-X2和Y=Y1-Y2,电流输出端口W=W1-W2;W1、W2的静态电流均为8.5mA。在芯片内部,输入电压先转换为差分电流(V-I转换电阻约为280Ω),目的是降低噪声和漂移;然而,输入电压较低时将导致V-I转换线性度变差,为此芯片内含失真校正电路,以改善小信号V-I转换时的线性特性。电流放大器用于对乘法运算电路输出的电流进行放大,然后以差分电流形式输出。 AD834的传递函数为: W=4XY (X、Y的单位为伏特,W的单位为mA) 3.应用考虑 3.1 输入端连接

尽管AD834的输入电阻较高(20kΩ),但输入端仍有45μA的偏置电流。当输入采用单端方式时,假如信号源的内阻为50Ω,就会在输入端产生1.125mV的失调电压。为消除该失调电压,可在另一输入端到地之间接一个与信号源内阻等值的电阻,或加一个大小、极性可调的直流电压,以使差分输入端的静态电压相等;此外,在单端输入方式下,最好使用远离输出端的X2、Y1作为输入端,以减小输入直接耦合到输出的直通分量。 应当注意的是,当输入差分电压超过AD834的限幅电平(±1.3V)时,系统将会出现较大的失真。 3.2 输出端连接 采用差分输出,可有效地抑制输入直接耦合到输出的直通分量。差分输出端的耦合方式,可用RC耦合到下一级运算放大器,进而转换为单端输出,也可用初级带中心抽头的变压器将差分信号转换为单端输出。 3.3 电源的连接 AD834的电源电压允许范围为±4V~±9V,一般采用±5V。要求VW1和VW2的静态电压略高于引脚+VS上的电压,也就是+VS引脚上的电去耦电阻RS应大于W1和W2上的集电极负载电阻RW1、RW2。例如,RS为62Ω,RW1和RW2可选为49.9Ω,而+V=4.4V,VW1=VW2=4.6V,乘法器的满量程输出为±400mV。 引脚-VS到负电源之间应串接一个小电阻,以消除引脚电感以及去耦电容可能产生的寄生振荡;较大的电阻对抑制寄生振荡有利,但也会使VW1和VW2的静态工作电压降低;该电阻也可用高频电感来代替。 4.应用实例 AD834主要用于高频信号的运算与处理,如宽带调制、功率测量、真有效值测量、倍频等。在某航空通信设备扩频终端机(如图2所示)的研制中,笔者应用AD834设计了扩频信号调制器和扩频信号接收AGC电路。

八位乘法器VHDL及功能模块说明

EDA课程设计报告 实验名称:八位乘法器

目录 一.引言 1.1 EDA技术的概念?? 1.2 EDA技术的特点?? 1.3 EDA设计流程?? 1.4 VHDL介绍?? 二.八位乘法器的设计要求与设计思路??2.1 设计目的?? 2.2 设计要求?? 三.八位乘法器的综合设计?? 3.1 八位乘法器功能?? 3.2 八位乘法器设计方案?? 3.3 八位乘法器实体设计?? 3.4 八位乘法器VHDL设计?? 3. 5八位乘法器仿真图形?? 心得体会?? 参考文献??

一、引言 1.1 EDA技术的概念 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。 1.2 EDA技术的特点 利用EDA技术进行电子系统的设计,具有以下几个特点:①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA技术是现代电子设计的发展趋势。 1.3 EDA设计流程 典型的EDA设计流程如下: 1、文本/原理图编辑与修改。首先利用EDA工具的文本或图形编辑器将设计者的设计意图用文本或图形方式表达出来。 2、编译。完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。 3、综合。将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。 4、行为仿真和功能仿真。利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。 5、适配。利用FPGA/CPLD布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配臵、逻辑分割、逻辑优化、布局布线。适配报告指明了芯片内资源的分配与利用、引脚锁定、设计的布尔方程描述情况。

模拟乘法器及其应用

模拟乘法器及其应用

摘要 模拟乘法器是一种普遍应用的非线性模拟集成电路。模拟乘法器能实现两个互不相关的模拟信号间的相乘功能。它不仅应用于模拟运算方面,而且广泛地应用于无线电广播、电视、通信、测量仪表、医疗仪器以及控制系统,进行模拟信号的变换及处理。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分立器件如二极管和三极管要简单的多,而且性能优越。 Analog multiplier is a kind of widely used nonlinear analog integrated multiplier can be achieved between two unrelated analog multiplication is not only applied in the simulation operation aspect, and widely used in radio, television, communications, measuring instruments, medical equipment and control system, the analog signal conversion and the high frequency electronic circuit, amplitude modulation, synchronous detection, mixing, frequency doubling, frequency, modulation and demodulation process, the same as can be seen as two signal multiplication or contain multiplication function is realized by using integrated analog multiplier than using discrete components such as diodes and transistors are much more simple, and superior performance.

计算机组成原理_阵列乘法器的设计

沈阳航空航天大学 课程设计报告 课程设计名称:计算机组成原理课程设计课程设计题目:阵列乘法器的设计与实现 院(系):计算机学院 专业:计算机科学与技术 班级: 学号: 姓名: 指导教师: 完成日期:2014年1月10日

目录 第1章总体设计方案 0 1.1设计原理 0 1.2设计思路 (1) 1.3设计环境 (2) 第2章详细设计方案 (2) 2.1总体方案的设计与实现 (2) 2.1.1总体方案的逻辑图 (3) 2.1.2器件的选择与引脚锁定 (3) 2.1.3编译、综合、适配 (4) 2.2功能模块的设计与实现 (4) 2.2.1 一位全加器的设计与实现 (4) 2.2.2 4位输入端加法器的设计与实现 (6) 2.2.3 阵列乘法器的设计与实现 (8) 第3章硬件测试 (11) 3.1编程下载 (11) 3.2 硬件测试及结果分析 (11) 参考文献 (13) 附录(电路原理图) (15)

第1章总体设计方案 1.1 设计原理 阵列乘法器采用类似人工计算的方法进行乘法运算。人工计算方法是用乘数的每一位去乘被乘数,然后将每一位权值对应相加得出每一位的最终结果。如图1.1所示,用乘数的每一位直接去乘被乘数得到部分积并按位列为一行,每一行部分积末位与对应的乘数数位对齐,体现对应数位的权值。将各次部分积求和,即将各次部分积的对应数位求和即得到最终乘积的对应数位的权值。 为了进一步提高乘法的运算速度,可采用大规模的阵列乘法器来实现,阵列乘法器的乘数与被乘数都是二进制数。可以通过乘数从最后一位起一个一个和被乘数相与,自第二位起要依次向左移一位,形成一个阵列的形式。这就可将其看成一个全加的过程,将乘数某位与被乘数某位与完的结果加上乘数某位的下一位与被乘数某位的下一位与完的结果再加上前一列的进位进而得出每一位的结果,假设被乘数与乘数的位数均为4位二进制数,即m=n=4,A×B可用如下竖式算出,如图1.1所示。 X4 X3 X2 X1 =A ×Y4 Y3 Y2 Y1=B X4Y1X3Y1X2Y1 X1Y1 X4Y2X3Y2X2Y2X1Y2 X4Y3X3Y3X2Y3X1Y3 (进位)X4Y4 X3Y4X2Y4X1Y4 Z8Z7Z6Z5Z4Z3Z2Z1 图1.1 A×B计算竖式 X4 ,X3 ,X2 ,X1 ,Y4 ,Y3 ,Y2 ,Y1为阵列乘法器的输入端,Z1-Z8为阵列乘法器的输出端,该逻辑框图所要完成的功能是实现两个四位二进制既A(X)*B(Y)的乘法运算,其计算结果为C(Z) (其中A(X)=X4X3X2X1 ,B(Y)=Y4Y3Y2Y1,C(Z)=Z8Z7Z6Z5Z4Z3Z2Z1而且输入和输出结果均用二进制表示)。阵列乘法器的总原理如图1.2所示。

乘法器的设计

物理与电子工程学院集成电路设计课程论文题目:乘法器的研究 学生姓名:XXX 指导教师:XXX 201X年XX月XX日

乘法器 摘要:乘法器,其基础就是加法器结构,它已经是现代计算机中必不可少的一部分。 乘法器的模型就是基于“移位和相加”的算法。本文讨论基本的阵列乘法器,以及产生部分 积和最终求和。 关键词:全加器,半加器,阵列。 引言: 乘法运算非常耗费硬件面积并且速度很慢,许多计算问题解决的快慢受乘法器电 路工作速度的约束,因此在现代高级的数字信号处理器和微处理器中都集成了硬件乘法单 元。并且乘法器在当今数字信号处理以及其他诸多应用领域中起着十分重要的作用。随着科 学技术的发展,许多研究人员已经开始试图设计一类拥有更高速率和低功耗,布局规律占用 面积小,集成度高的乘法器。这样,就能让它们更加适用于高速率,低功耗的大规模集成电 路的应用当中。通常的乘法计算方法是添加和位移的算法。在并行乘法器当中,相加的部分乘积的数量是主要的参数。它决定了乘法器的性能。为了减少相加的部分乘积的数量,修正 的Booth 算法是最常用的一类算法。但是,随着并行化的增多,大量的部分乘积和中间求和 的增加,会导致运行速度的下降。不规则的结构会增加硅板的面积,并且由于路由复杂而导 致中间连接过程的增多继而导致功耗的增大。另一方面串并行乘法器牺牲了运行速度来获得 更好的性能和功耗。因此,选择一款并行或串行乘法器实际上取决于它的应用性质。 主体 1.1.1二进制乘法定义 考虑两个无符号二进制数X 和Y ,X 为M 位宽,Y 为N 位宽,将它们用下列二进制数形 式表达 i 1 -M 0i i 2X X ∑== (1.1) j 1 -N 0j j 2Y Y ∑== (1.2) 其中i X 和j Y 为0或者1,那么X 和Y 的乘法运算定义如下 Z=X ×Y= k 1 -N M 0k k 2Z ∑+= =(i M i i X 210∑-=)(j 1-N 0j j 2Y ∑=)=∑∑=-=+???? ??1-M 0i 10j 2N j i j i Y X (1.3) 我们先来看一下手工是如何进行二进制乘法运算的。如图1-1所示,被乘数与乘数的第一个 位相乘(实际为“与”操作)产生积,并且根据乘数相应位的位置对部分积进行左移(例如, 被乘数与乘数的第0位相乘,则不移位;与第一位相乘,部分积左移1位,以此类推),最 终将所有的部分积相加得到乘法运算的结果。M 位被乘数与N 位乘数相乘得到的乘积是 M+N 位的。 1.1.2部分积生成

基于模拟乘法器MC1496的混频器设计

基于模拟乘法器MC1496的混频器设计

摘要 集成模拟乘法器是完成两个模拟量(电压或电流)相乘的电子器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频等调制与解调的过程均可视为两个信号相乘的过程,而集成模拟乘法器正是实现两个模拟量,电压或电流相乘的电子器件。采用集成模拟乘法器实现上述功能比用分立器件要简单得多,而且性能优越,因此集成模拟乘法器在无线通信、广播电视等方面应用较为广泛。 混频器在通信工程和无线电技术中,应用非常广泛,在调制系统中,输入的基带信号都要经过频率的转换变成高频已调信号。在解调过程中,接收的已调高频信号也要经过频率的转换,变成对应的中频信号。特别是在超外差式接收机中,混频器应用较为广泛,混频电路是应用电子技术和无线电专业必须掌握的关键电路。 Matlab是一种电子技术界应用广泛的优秀科学计算软件,大量应用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境。主要内容是基于MC1946的混频器应用设计与仿真,阐述混频器基本原理,并在Matlab中实现各信号波形的仿真。 关键词:MC1496模拟乘法器,混频器,Matlab

DESING OF MIXER BASED ON THE ANALOG MULTIPLIER MC1496 Abstract Integrated analog multiplier is to complete two analog multiplication electronics (voltage or current) In high frequency electronic circuit, amplitude modulation, synchronous detection, mixing, times frequency, frequency modulation and demodulation process can be regarded as the multiplication of two signals process, and integrated analog multiplier is the realization of two analog, voltage or current multiplication of electronic devices. The function is realized by using integrated analog multiplier is much simpler than with a discrete device, and superior performance, therefore integrated analog multiplier in wireless communication, radio and television are more widely application. Mixer in communication engineering and electronic technology, are widely applied in modulation system, the input of the baseband signal through frequency conversion into high frequency modulated signals. In the process of demodulation, receive the high frequency signal is modulated by frequency conversion, into the corresponding intermediate frequency signals. Especially in a superheterodyne receiver, which has been widely applied mixer, mixing circuit is a professional application of electronic technology, and radio must master the key circuit. Matlab is an electronic technology widely used mathematical software, a large number of used in algorithm development, data visualization, data analysis and numerical calculation of senior technical computing language and interactive environment. Main content is based on the MC1946 mixer application design and simulation, the basic principle of mixer, and realize the signal waveform in the Matlab simulation. Key Words: MC1496 analog multiplier, mixer, Matlab

8位乘法器毕业设计论文

本科生毕业论文(设计) 8位乘法器的设计 姓名:吴小东 指导教师:华婷婷 院系:信息工程学院 专业:计算机科学与技术 提交日期: 2010/4/30

目录 中文摘要 (2) 外文摘要 (3) 1.绪论 (4) 1.1概述 (4) 1.2 VHDL和MAX+PIUS简介 (5) 1.3 实验平台 (6) 2.乘法器初步设计 (7) 2.1 设计思想 (7) 2.2乘法器原理 (7) 2.3乘法器设计流程 (8) 3. 乘法器具体设计 (9) 3.1右移寄存器的设计 (9) 3.2 加法器模块的设计 (10) 3.2.1 4位加法器的设计 (10) 3.2.2 8位加法器的设计 (11) 3.3 乘1模块设计 (13) 3.4锁存器模块设计 (14) 4. 乘法器仿真 (17) 4.1 8位加法器仿真 (17) 4.2 乘1模块仿真 (17) 4.3 锁存器模块仿真 (18) 4.4 8位乘法器仿真 (18) 结束语 (19) 参考文献 (20) 致谢 (21)

8位乘法器的设计 吴小东 指导老师:华婷婷 (黄山学院信息工程学院,黄山,安徽 245041) 摘要:在微处理器芯片中,乘法器是进行数字信号处理的核心,同时也是微处理器中进行数据处理的关键部件,它已经是现代计算机必不可少的一部分。本文主要是在于如何运用标准硬件描述语言(VHDL)完成八位乘法器,以及如何做二进制位相乘的运算过程。该乘法器是由八位加法器构成的以时序方式设计八位乘法器,通过逐项移位相加来实现乘法功能,并以MAX+Plus II 软件工具进行模拟,仿真并予以显示。 关键字:乘法器;标准硬件描述语言(VHDL);移位相加;MAX+Plu s II

VHDL的乘法器设计——数字电路课程设计

数字电路课程设计 题目乘法器设计 班级实验二班 学号 姓名 时间第十三、十四周 地点科A-304 指导陈学英唐青

【摘要】:用FPGA设计完成基于半加器、全加器和保留进位思想设计的4BIT四级流水乘法器,用modelsim仿真其结果。 【目录】: 第一章、实验任务及原理 第二章、设计思路方法及方案 第三章、FPGA模块程序设计与仿真 第四章、结束语

【正文】 【第一章】:实验任务及原理 本实验只要求编写乘法器的硬件代码,并用Modelsim进行仿真测 试。设计乘法器,两个输入都是4BIT,对所有输入相乘都得到正 确结果,乘法器采用四级流水设计,以增加处理速度。用modelsim 仿真时,要求用时钟上升沿方式遍历所有输入,检查输出结果是否 正确。原理用到流水,进位保留思想。 【第二章】:设计思路及方案 算法结构(无符号) 由上图可见,乘法的运算最终是加法的运算,两个4BIT输入,输出为7BIT。模块一、半加器:单比特输入相加, 模块二、全加器:由两个半加器组成,有一个进位输入, 模块三、进位保留加法器:

最终程序结构图 流水设计的原理:在前向割集上加入四级流水 图一 图二 如上图所示方框代表触发器,五边形代表组合逻辑块,假设图一中逻辑块输入输出延时为Ta,图二将逻辑块切割成两块,延时分别为T1,T2,且Ta=T1+T2,

并在两逻辑块之间加触发器,两个逻辑块工作频率都可以达到clk频率,故工作速度增加一倍,虽然时延增加了,但资源优化了许多。 【第三章】:FPGA程序模块及仿真 半加器的程序模块: entity half_adder is port(a,b:in std_logic; s,cout:out std_logic); end half_adder; architecture Behavioral of half_adder is begin s<=a xor b; cout<=a and b; end Behavioral; 全加器的程序模块:调用半加器,采用顶层设计 entity full_adder is port(a,b,cin:in std_logic; s,cout:out std_logic); end full_adder; architecture Behavioral of full_adder is component half_adder port(a,b:in std_logic; cout,s:out std_logic); end component; signal h1s,h1cout,h2cout:std_logic; begin u1:half_adder port map(a,b,h1cout,h1s); u2:half_adder port map(cin,h1s,h2cout,s); cout<=h1cout or h2cout; end Behavioral; 乘法器的程序模块: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ----Uncomment the following library declaration if instantiating ----any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all;

基于IP核的乘法器设计

基于IP核的乘法器设计 一、实验原理 下图给出了16位乘法器的原理图。在设计中,使用了IP核Math Function中的Multiplier 资源。 二、实验步骤 1. 打开ISE软件创建一个新的工程,并选择器件的类型。 2. 用IP核生成一个16位的乘法器multiplier1。 3. 用VHDL语言生成一个16位的乘法器multiplier2。 4. 用例化语句component,port map合成一个顶层文件top.vhd。 5. 调用ISE仿真器进行行为仿真,观察仿真结果。波形文件如下: 三、VHDL源代码 1. 运算符描述的乘法器子模块的VHDL的源代码

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity multiplier2 is port ( clk : IN std_logic; a : IN std_logic_VECTOR(15 downto 0); b : IN std_logic_VECTOR(15 downto 0); q : OUT std_logic_VECTOR(31 downto 0) ); end multiplier2; architecture Behavioral of multiplier2 is begin process(clk) begin if rising_edge(clk) then q<=a*b; end if; end process; end Behavioral; 2. 顶层文件的VHDL的源代码 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity top is port ( clk : IN std_logic; a : IN std_logic_VECTOR(15 downto 0); b : IN std_logic_VECTOR(15 downto 0); q1 : OUT std_logic_VECTOR(31 downto 0); q2 : OUT std_logic_VECTOR(31 downto 0) ); end top; architecture Behavioral of top is

原码一位乘法器的设计说明

第1章总体设计方案 1.1 设计原理 原码一位乘乘法器中用三个寄存器X,Y和BFJ分别存放被乘数,乘数和部分积。乘法运算开始时,BFJ寄存器被清零,作为初始部分积。被乘数放在X 寄存器中,乘数放在Y寄存器中。实现部分积和被乘数相加是通过X送加法器和Y送加法器,在加法器中完成的。加法器的输出经过移位电路向右移一位送入BFJ寄存器中。Y寄存器是用移位寄存器实现的,其最低位用作Y送加法器的控制命令。因为原码一位乘是通过乘数的最低位是1还是0来确定加数的,当乘数的最后一位为1的时候,部分积加上被乘数,当乘数的最后一位为0的时候,部分积加上0。加法器最低一位的值,在右移的过程中将被移入Y寄存器的最高数值位,这样就使积的低位部分被保存在Y寄存器中,最开始的乘数在逐位右移的过程中不断丢失,直到移位结束。乘法运算完成以后BFJ寄存器中保存的数值是乘积的高位部分,Y寄存器即乘数寄存器中保存乘积的低位部分。 1.2设计思路 实现原码一位乘乘法的逻辑框图如图1.2所示,BFJ存放部分积,X存放被乘数,Y存放乘数。 一个实现一位原码乘法运算的运算器可以由一个被乘数寄存器,一个乘数寄存器,一个部分积寄存器,一个加法器,一个计数器,二选一选择电路以及移位电路七个模块构成。顶层的乘法器模块采用原理图设计输入方式。 被乘数寄存器模块中X为被乘数输入端,LOAD为数据打入电平,CLK为输入脉冲,XOUT为数据输出端口。 乘数寄存器模块中Y为乘数输入端,LOAD为数据打入电平,CLK位输入脉冲,INPUT为部分积最低位输入端,YOUT为数据输出端口,LOWBIT为数乘数最低位输出端。 部分积寄存器中IN为部分积右移一位以后的数据输入端,CLR为清零电平,CLK为输入脉冲,HIGH为加法器的进位输入端,OUT为部分积右移一位后数

模拟乘法器

沈阳大学科技工程学院 模拟乘法器 1.课程设计目的 随着电子技术的发展,集成模拟乘法器应用也越来越广泛,它不仅应用于模拟量的运算,还广泛应用于通信、测量仪表、自动控制等科学技术领域。 在本次课程设计实验中,通过对高频电子线路的振幅调制与解调,模拟乘法器的学习设计出由双差分对乘法器为主构成的乘法器常规调幅电路,通过对电路的设计,参数的确定,设计出了方案,按照设计的电路图在Multisim 仿真软件中画出具体的仿真电路图并进行了调试,观察实验结果并与课题要求的性能指标做了对比,最后对实验结果经行了分析总结。 2.设计方案论证 2.1 乘法器常规调幅的设计作用 随着电子技术的发展,集成模拟乘法器应用也越来越广泛,它不仅应用于模拟量的运算,还广泛应用于通信、测量仪表、自动控制等科学技术领域。用集成模拟乘法器可以构成性能优良的调幅和解调电路,其电路元件参数通常采用器件典型应用参数值。作调幅时,高频信号加到输入端,低频信号加到Y 输入端;作解调时,同步信号加到X 输入端,已调信号加到Y 输入端。调试时,首先检查器件各管脚直流电位应符合要求,其次调节调零电路,使电路达到平衡。集成模拟乘法器是实现两个模拟信号相乘的器件,它广泛用于乘法、除法、乘方和开方等模拟运算,同时也广泛用于信息传输系统作为调幅、解调、混频、鉴相和自动增益控制电路,是一种通用性很强的非线性电子器件,目前已有多种形式、多品种的单片集成电路,同时它也是现代一些专用模拟集成系统中的重要单元。 2.2乘法器常规调幅设计 调制就是指携带有用信息的调制信号去控制高频载波信号解调是调制的逆过程,将有用的低频信号从高频载波中还原出来。调幅过程是非线性变换的过程。 普通调幅是用需传送的信息(调制信号))(t u Ω去控制高频载波)(t u c 的振幅,使其随调制信号)(t u Ω的规律而变化。 调幅时,载波的频率和相位不变,而振幅将随调制信号线性变化。若载波信号为 t U t u c cm c ωcos )(=,调制信号为)(t u Ω。则普通调幅波的振幅为: )()(t u k U t U a cm cm Ω+=

8位乘法器设计

EDA大作业 8位二进制乘法电路 ?1.设计要求 8位二进制乘法采用移位相加的方法。即用乘数的各位数码,从低位开始依次与被乘数相乘,每相乘一次得到的积称为部分积,将第一次(由乘数最低位与被乘数相乘)得到的部分积右移一位并与第二次得到的部分积相加,将加得的和右移一位再与第三次得到的部分积相加,再将相加的结果右移一位与第四次得到的部分积相加。直到所有的部分积都被加过一次。例如:被乘数(M7M6M5M4M3M2M1M0)和乘数(N7N6N5N4N3N2N1N0)分别为11010101和10010011,其计算过程如下: 1 1 0 1 0 1 0 1 × 1 0 0 1 0 0 1 1 1 1 0 1 0 1 0 1 N0与被乘数相乘的部分积,部分积右移一位 1 1 0 1 0 1 0 1 N1与被乘数相乘的部分积 + 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 1 1 1 1 0 0 1 1 1 1 1 1 1 两个部分积之和,部分积之和右移一位 + 0 0 0 0 0 0 0 0 N2与被乘数相乘的部分积 0 1 0 0 1 1 1 1 1 1 1 0 1 0 0 1 1 1 1 1 1 1 与前面部分积之和相加,部分积之和右移一 + 0 0 0 0 0 0 0 0 N4与被乘数相乘的部分积 ··· ···N7与被乘数相乘的部分积 + 1 1 0 1 0 1 0 1 1 1 1 1 0 1 0 0 1 0 0 1 1 1 1 与前面部分积之和相加 0 1 1 1 1 0 1 0 0 1 0 0 1 1 1 右移一位得到最后的积 ?按照这种算法,可以得到下图所示之框图和简单流程图。图中Y寄存器存放被乘数M,B寄存器存放乘数N,A累加器存放部分积。A和Y中的数据在加法器中相加后 送入A中,而A和B相级联又构成了一个16BIT的移位寄存器,当它工作于移位模 式时,可以实现数据的右移。由于乘数的每一位不是0就是1 ,对应的部分积不是 0就是被乘数本身,所以实际作部分积相加这一步时,只要根据乘数的对应位判断: 如该位为1 ,则将累加器中的数据加上被乘数再移位;如该位为0时,就不加被乘 数而直接移位。运算时首先将累加器A清零,并将被乘数M和乘数N分别存入寄存 器Y和B,然后依据寄存器B中最右一位B0(数据N0)确定第一个部分积。将此部 分积送入A累加器以后,将A连同寄存器B右移一位,部分积的最低位被移进寄存 器B的最左位,乘数的最低位N0被移出寄存器B,而乘数的次低位N1被移至寄存 器B的B0位。第二次仍然依据B0位的数据(N1)来确定第二个部分积,将部分积 与累加器中的数据相加后右移一位,N1又被移出寄存器,数据N2被移到B0位置。。。。。 这样,经过8次部分积相加位的操作,完成1次乘法运算,乘数N恰好被移出寄存 器B,寄存器B中保存的就是运算积的低8位数据。移位相加的次数应用一个计数 器来控制,每移位一次,计数器计一个数。当计数器计得8个数时,发出一个信号, 使电路停止操作,并输出运算结果(流程图是按减法计数器设计的,也可使用加法 计数器)。

模拟乘法器ADL5391的原理与应用

模拟乘法器ADL5391的原理与应用邮件群发 模拟乘法器是现代信号处理系统的重要组成单元,它广泛应用于锁相环、混频器、滤波器等信号处理电路中。ADL5391是美国ADI公司推出的宽频带、高性能、超对称的模拟乘法器。它具有2 GHz的可用带宽,是此前所有模拟乘法器所无法相比的。同时,ADL5391也是目前速度最快的模拟乘法器芯片之一。它将所有电路集成于一块芯片之中,使得ADL5391具有极高的速度。在文中的应用实例中,设计了一种基于ADL5 391的二倍频电路,可对输入的信号进行准确的二倍频,电路性能稳定,可广泛应用于混频、倍频、脉冲调制等领域。 1 ADL5391的主要特性 ADL5391凝聚了ADI公司三十年的先进模拟乘法器技术经验,其主要特性如下: 1)DC至2 GHz对称乘法器,传递函数为VW=αx(VXxVY),1 V+Vz; 2)独特的设计确保了X、Y的绝对对称,X、Y的幅度,时间响应相同; 3)可调、不随温度而变化、增益调整为α; 4)完全差分输入,输出或单端操作; 5)低噪声和高输出线性度; 6)单电源供电:4(5,5(5 V,130 mA; 7)3x3 mm、16引脚小型LFCSP封装。 2 ADL5391的工作原理 ADL5391的功能结构框图如图1所示,传递函数由下式给出: W=aXY,U+Z (1) 其中:X和Y是被乘数;U是乘法器的比例因子;α是乘法器增益;W是乘法器

的输出;Z是一个求和输入。所有的变量和比例因子单位都是伏特。 ADL5391最重大的改进就是采用了新型乘法器内核架构,它与自1970年开始使用的传统架构明显不同。传统的模拟乘法器(如AD835)几乎完全由吉尔伯特单元的拓扑结构或与其相近的电路实现。X和Y不对称的信号路径造成了X和Y之间幅度和时延的不平衡,这在高频时会出现问题。在ADL5391中,新型的乘法器内核提供了X和Y之间绝对的对称,尽量减小吉尔伯特单元中本身的差异。 ADL5391的功能结构框图展示了主乘法器单元和反馈乘法器单元,其中主乘法器用于接收X和Y输入信号,反馈乘法器位于反馈路径上,围绕在积分缓冲区附近,它的输入量是输出信号与求和输入信号之差(W-Z),和内部比例参考值。其中,反馈乘法器和主乘法器是相同的,由于该反馈乘法器基本上补偿了主乘法器上产生的缺损,因此常见的噪声、漂移或失真基本上被限制在了一阶。 3 ADL5391的应用实例 ADL5391主要运用于高频信号的运算和处理,如宽带的乘法和加法,高频模拟调制,自适应天线,平方律探测器,倍频等。以下给出了基于ADL5391的宽带乘法器电路,并且设计了基于该模拟乘法器的二倍频电路,并对其分别进行了性能测试。

4乘4位阵列乘法器设计

课程设计报告课程设计题目:4乘4位阵列乘法器设计 学生姓名:杨博闻 学号:201120070115 专业:计算机科学与技术 班级:1120701 指导教师:汪宇玲 2014年1月4日

一、设计目的 1.掌握乘法器的原理及其设计方法。 2 .熟练应用CPLD 设计及EDA 操作软件。 二、设计设备 1.TDN-CM+或TDN-CM++教学实验系统一套。 2 ·PC 微机一台。 3·ispDesignEXPERT 软件 模型机数据通路结构框图 三、设计原理 本实验用CPLD 来设计一个4 ×4 位乘法器,相对于画电路图输入,用ABEL 语言描述是比较方便的。其算式如下(其中括号中的数字表示在ABEL 源程序描述中的功能块调用编号):

a3 a2 a1 a0 ×b3 b2 b1 b0 ---------------------------------------------------------------------------------------------------------- a3b0(10) a2b0(6) a1b0(3) a0b0(1) a3b1(13) a2b1(9) a1b1(5) a0b1(2) a3b2(15) a2b2(12) a1b2(8) a0b2(4) +a3b3(16) a2b3(14) a1b3(11) a0b3(7) ----------------------------------------------------------------------------------------------------------- p7 p6 p5 p4 p3 p2 p1 p0 四、设计步骤 1.安装EDA 软件 打开计算机电源,进入Windows 系统,安装上述ispDesignEXPERT 软件。安装完成后,桌面和开始菜单中则建有ispDesignEXPERT 软件图标。 2.用ispDesignEXPERT 软件根据上述乘法的逻辑原理用ABEL 语言编写功能描述程序。 其在1032 芯片中对应的管脚如图: 3.编辑、编译和下载 使用ispDesignEXPERT 软件编辑源程序并进行编译,然后打开实验系统电源,将生成的JEDEC 文件下载到ispLSI1032 中去。 4 .连接实验电路 按下图连接实验电路。 5 .给定操作数,观察乘法器输出 将SWITCH UNIT 单元中的SW-B、AR 开关置为低电平状态。在INPUT

相关主题
文本预览
相关文档 最新文档