当前位置:文档之家› 数字电路期末总复习大纲

数字电路期末总复习大纲

数字电路期末总复习大纲
数字电路期末总复习大纲

数字电路期末总复习大纲

第1章 数字逻辑概论 一、进位计数制

1.十进制与二进制数的转换

2.二进制数与十进制数的转换

3.二进制数与16进制数的转换 二、基本逻辑门电路 第2章 逻辑代数

表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺图,逻辑图及波形图等几种。 一、逻辑代数的基本公式和常用公式 1)常量与变量的关系A+0=A与AA A+1=1与

=1

与=0

2)与普通代数相运算规律 a.交换律:A+B=B+A

b.结合律:(A+B)+C=A+(B+C)

c.分配律:=

=?100=?A A A +A A ?A B B A ?=?)()(C B A C B A ??=??)(C B A ??+?B A C A ?))()(C A B A C B A ++=?+

3)逻辑函数的特殊规律 a.同一律:A+A+A

b.摩根定律:, b.关于否定的性质A= 二、逻辑函数的基本规则 代入规则

在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L表示,则等式仍然成立,这个规则称为代入规则 例如: 可令L=

则上式变成= 三、逻辑函数的:——公式化简法

公式化简法就是利用逻辑函数的基本公式和常用公式化简逻辑函数,通常,我们将逻辑函数化简为最简的与—或表达式

1)合并项法:

利用A+或,将二项合并为一项,合并时可消去一个变量

例如:L= 2)吸收法

利用公式,消去多余的积项,根据代入规则B A B A ?=+B A B A +=?A C B A C B A ⊕?+⊕?C B ⊕L A L A ?+?C B A L A ⊕⊕=⊕1=+A A A B A B A =?=?B A C C B A C B A C B A =+=+)(A B A A =?+B

A ?

可以是任何一个复杂的逻辑式 例如 化简函数L=

解:先用摩根定理展开:= 再用吸收法 L= = = = = 3)消去法

利用消去多余的因子 例如,化简函数L= 解: L= = = = = = = 4)配项法

利用公式将某一项乘以(),即乘以1,然后将其折成几项,再与其它项合并。 例如:化简函数L=

E B D A AB ++AB B A +E B D A AB ++E B D A B A +++)()(E B B D A A +++)1()1(E B B D A A +++B A +B A B A A +=+ABC E B A B A B A +++ABC E B A B A B A +++)()(ABC B A E B A B A +++)()(BC B A E B B A +++))(())((C B B B A B B C B A +++++)()(C B A C B A +++AC B A C A B A +++C B A B A ++C A B A BC C A B A ?+?=+?+?A A +B A C B C B B A +++

解:L=

= = = = = 2.应用举例

将下列函数化简成最简的与-或表达式 1)L= 2)L= 3)L= 解:1)L= = = = = = = 2)L= = = =

B A

C B C B B A +++)()(C C B A C B A A C B B A ++++?+?C B A BC A C B A C B A C B B A ++++?+?)()()(BC A C B A C B A C B C B A B A +++?++?)()1()1(B B C A A C B C B A +++++?C A C B B A ++?A

D DC

E BD B A +++AC C B B A ++ABCD C B C A AB +++A D DCE BD B A +++DCE A B D B A +++)(DCE A B D B A ++DCE B A D B A ++DCE AB B A D B A +++))((DCE D B A ++D B A +AC C B B A ++AC C B C C B A +++)(AC C B C B A C B A +++)1()1(A C B B AC +++

=

3)L= = = = = =

四、逻辑函数的化简—卡诺图化简法:

卡诺图是由真值表转换而来的,在变量卡诺图中,变量的取值顺序是按循环码进行排列的,在与—或表达式的基础上,画卡诺图的步骤是:

1.画出给定逻辑函数的卡诺图,若给定函数有个变量,表示卡诺图矩形小方块有个。

2.在图中标出给定逻辑函数所包含的全部最小项,并在最小项内填1,剩余小方块填0.

用卡诺图化简逻辑函数的基本步骤: 1.画出给定逻辑函数的卡诺图 2.合并逻辑函数的最小项

3.选择乘积项,写出最简与—或表达式 选择乘积项的原则:

①它们在卡诺图的位置必须包括函数的所有最小项 ②选择的乘积项总数应该最少

C B AC +ABC

D C B C A AB +++ABCD A A C B C A AB ++++)(ABCD C B A C AB C A AB ++++)()(C B A C A ABCD C AB AB ++++)1()1(B C A CD C AB ++++C A AB +n n 2

③每个乘积项所包含的因子也应该是最少的 例1.用卡诺图化简函数L= 解:1.画出给定的卡诺图 2.选择乘积项:L=

例2.用卡诺图化简L= 解:1.画出给定4变量函数的卡诺图 2.选择乘积项

设到最简与—或表达式L= 例3.用卡诺图化简逻辑函数 L=

解:1.画出4变量卡诺图

2.选择乘积项,设到最简与—或表达式

L= 第3章 逻辑门电路

门电路是构成各种复杂集成电路的基础,本章着重理解TTL 和CMOS 两类集成电路的外部特性:输出与输入的逻辑关系,电压传输特性。

1.TTL 与CMOS 的电压传输特性 开门电平—保证输出为额定低电平 时所允许的最小输入高电平值 在标准输入逻辑时,=1.8V

关门—保证输出额定高电平90%的情况下,允许的最大输

C B A C B A ABC BC A +++C B A BC AC ++C B A

D C A C B CD B ABCD F +++=)(C B A D B A C B ++)14,12,10,7,5,4,3,1(m ∑D AC D C B D A ++ON V ON V OFF V AB 00000101111110

10

111111

1

1

V I

OFF V ON

V NH

IL V

入低电平值,在标准输入逻辑时,=0.8V

—为逻辑

0的输入电压 典型值=0.3V

—为逻辑1的输入电压

典型值=3.0V —为逻辑1的输出电压 典型值=3.5V

—为逻辑

0的输出电压 典型值=0.3V

对于TTL :这些临界值为,

,

低电平噪声容限: 高电平噪声容限:

例:74LS00的

它的高电平噪声容限 =3-1.8=1.2V 它的低电平噪声容限

=0.8-0.3=0.5V

2.TTL 与COMS 关于逻辑0和逻辑1的接法

74HC00为CMOS 与非门采用+5V电源供电,输入端在下面四种接法下都属于逻辑0 ①输入端接地

②输入端低于1.5V的电源

③输入端接同类与非门的输出电压低于0.1V ④输入端接10电阻到地

74LS00为TTL 与非门,采用+5V电源供电,采用下列4种接法都属于逻辑1

OFF V IL V IL V IH V IH V OH V OH V OL V OL V V V OH 4.2min =V V OL 4.0max =V V IH 0.2min =V

V IL 8.0max =IL OFF NL V V V -=ON IH NH

V V V -=V V OH 5.2min =)(V

V OL 4.0(=出最小)V

V IH 0.2min =)(V

V IL 7.0max =)(ON IH NH V V V -=IL OFF NL V V V -=ΩK

①输入端悬空

②输入端接高于2V电压

③输入端接同类与非门的输出高电平3.6V ④输入端接10电阻到地 第4章 组合逻辑电路 一、组合逻辑电路的设计方法

根据实际需要,设计组合逻辑电路基本步骤如下: 1.逻辑抽象

①分析设计要求,确定输入、输出信号及其因果关系 ②设定变量,即用英文字母表示输入、输出信号 ③状态赋值,即用0和1表示信号的相关状态

④列真值表,根据因果关系,将变量的各种取值和相应的函数值用一张表格一一列举,变量的取值顺序按二进制数递增排列。 2.化简

①输入变量少时,用卡诺图 ②输入变量多时,用公式法 3.写出逻辑表达式,画出逻辑图

①变换最简与或表达式,得到所需的最简式 ②根据最简式,画出逻辑图

例,设计一个8421BCD 检码电路,要求当输入量ABCD<3或>7时,电路输出为高电平,试用最少的与非门实现该电路。

K

解:1.逻辑抽象

①分由题意,输入信号是四位8421BCD码为十进制,输出为高、低电平;

②设输入变量为DCBA ,输出变量为L; ③状态赋值及列真值表

由题意,输入变量的状态赋值及真值表如下表所示。

2.化简

由于变量个数较少,帮用卡诺图化简

3.写出表达式

经化简,得到 4.画出逻辑图

二、用组合逻辑集成电路构成函数

①74LS151的逻辑图如右图图中,为输入使能端,低电平有效为地址输入端,为数据选择输入端,、互非的输出端,其菜单如下表。

C B A

D B A L ++=

E 012S S S 70~D D Y Y A

B C D L 00000000000000000000000000000000111111111111111111111111111111

11

1110000011

AB CD 000001011111

101111

1

00000

L

=

其中为的最小项

为数据输入

当=1时,与其对应的最小项在表达式中出现 当=0时,与其对应的最小项则不会出现

利用这一性质,将函数变量接入地址选择端,就可实现组合逻辑函数。

②利用入选一数据选择器74LS151产生逻辑函数

解:1)将已知函数变换成最小项表达式 L= = =

2)将 转换成74LS151对应的输出形式=

在表达式的第1项中为反变量,B、C为原变量,故

=011

在表达式的第2项,中A 、C 为反变量,为原变量,故

=101

同理

=111

Y 0127012201210120...S S S D S S S D S S S D S S S D ++++i Y i i i i D m ∑∑==7

0i m 012S S S i D i D i D AB C B A BC A L ++=AB C B A BC A ++)(C C AB C B A BC A +++C AB ABC C B A BC A +++C AB ABC C B A BC A L +++=i Y i i i D m ∑∑

=7

0BC A A BC A ?3m C B A B C B A ?5m ABC ?7m

L

=110

这样L= 将74LS151中m 取1 即=1

0,即=0

由此画出实现函数L=的逻辑图如下图示。

第5章 锁存器和触发器

一、触发器分类:基本R-S 触发器、同步RS 触发器、同步D触发器、 主从R-S 触发器、主从JK 触发器、边沿触发器{上升沿触发器(D触发器、JK 触发器)、下降沿触发器(D触发器、JK 触发器) 二、触发器逻辑功能的表示方法

触发器逻辑功能的表示方法,常用的有特性表、卡诺图、特性方程、状态图及时序图。

对于第5章 表示逻辑功能常用方法有特性表,特性方程及时序图

对于第6章 上述5种方法其本用到。 三、各种触发器的逻辑符号、功能及特性方程 1.基本R-S 触发器 逻辑符号 逻辑功能

特性方程:

若,则

C AB ?6m 77665533

D m D m D m D m +++7653D D D D 、、、7653D D D D ===4210D D D D 、、、4210D D D D ===C AB ABC C B A BC A +++0,1==S R 01=+n Q

若,则

(约束条件)

若,则

若,则=1(不允许出现)

2.同步RS 触发器

(CP =1期间有效) 若,则

(约束条件) 若,则

若,则

若,则=1处于不稳定状态

3.同步D触发器 特性方程(CP=1期间有效

4.主从R-S 触发器

特性方程(作用后)

约束条件

逻辑功能

若,CP 作用后, 若,CP 作用后, 若,CP

作用后, 若,CP 作用后,处于不稳定状态

Note: CP 作用后指CP由0变为1,再由1变为0时

n n Q R S Q +=+10,0==S R 11=+n Q 0=?S R 0,1==S R n n Q Q =+11,1==S R Q Q =n n Q R S Q +=+10,1==S R 01=+n Q 0=?S R 0,0==S R 11=+n Q 0,1==S R n n Q Q =+11,1==S R Q Q =D Q

n =+1

n n Q R S Q +=+10=?S R 0,1==S R 01=+n Q 1,0==S R 11=+n Q 0,0==S R n n Q Q =+11,1==S R

5.主从JK 触发器

特性方程为:(CP 作用后)

逻辑功能

若,CP 作用后, 若,CP 作用后,

若,CP 作用后,(保持) 若,CP 作用后,(翻转) 7.边沿触发器

边沿触发器指触发器状态发生翻转在CP 产生跳变时刻发生, 边沿触发器分为:上升沿触发和下降沿触发 1)边沿D触发器 ①上升沿D触发器

其特性方程(CP 上升沿到来时有效) ②下降沿D触发器

其特性方程(CP 下降沿到来时有效

2)边沿JK 触发器

①上升沿JK 触发器

其特性方程(CP 上升沿到来时有效) ②下降沿JK 触发器 其特性方程(CP 下降沿到来时有效

3)T触发器 ①上升沿T触发器

n n n Q K Q J Q

+=+10,1==K J 11=+n Q 1,0==K J 01=+n Q 0,1==K J n n Q Q =+11,1==K J n n Q Q =+1D Q n =+1D Q

n =+1

n n n Q K Q J Q +=+1n

n n Q

K Q J Q

+=+1

其特性方程(CP 上升沿到来时有效) ②下降沿T触发器

其特性方程:(CP 下降沿到来时有效

出Q及B端波形,设触发器初始状态为0. 由于所用触发器为下降沿触发的D触发器,

其特性方程为=(CP 下降沿到来时) B=CP =

时刻之前

,=0,A=0

CP=B=00=0

时刻到来时

,A=1

CP=B=10=1不变

时刻到来时

A=0,,故B=CP=0,当CP 由1变为

0时,==1 当1,而A=0CP=1

时刻到来时,A=1,CP=A =0

当CP =0时,=0

当时,由于A=1,故CP=A =1

图A图B

n n Q T Q ⊕=+1n n Q T Q ⊕=+1D Q n =+1n Q n Q A ⊕1t 1=n Q n Q ⊕1t 0=n Q ⊕0=n

Q 2t 0=n Q =+1n Q n Q 0=+1n Q ?3t 1=n Q ?⊕n Q =+1n Q n Q 01=+n Q ⊕n Q B

若电路如图C 所示,设触发器初始状态为0,C 的波形如图D 所示,试画出Q及B端的波形

当特性方程=(CP 下降沿有效)

时刻之前,A=0, Q=0, CP=B=

时刻到来时

A=1,

故CP=B=

当CP 由1变为0时,=1

当=1时,由于A=1,故CP =,不变

时刻到来时,A=0,=1,故

CP=B=

此时,CP 由1变为0时,=0 当=0时,由于A=0故CP=00=1

时刻到来时,由于

A=1,而=0,故CP =

当CP 由1变为0时,=1

当Q=1时,由于A=1,故CP=B= 图C 图D

例:试写出如图示电路的特性方程,并画出如图示给定信号CP 、A、B作用下Q端的波形,设触发器的初始状态为0.

D Q n =+1n Q 1t 1=?n Q A 1t 0=n Q 001=?=?n Q A =+1n Q n Q n Q 11?n Q 2t n Q 01=?A =+1n Q n Q n Q ?3t n Q 0=?n Q A =+1n Q n Q 111=?

Q

解:由题意该触发器为下降沿触发器JK 触发器其特性方程

(CP

下降沿到来时有效)

其中 由JK 触发器功能: J=1,K=0CP 作用后1

J=0,K=0CP 作用后0 J=0,K=0CP 作用后 J=1,K=1CP 作用后

第6章时序逻辑电路分类 一、时序逻辑电路分类

时序逻辑电路分为同步时序逻辑电路和异步时序逻辑电路,时序逻辑电路通常由组合逻辑电路和存贮电路两部分组成。 二、同步时序电路分析

分析步骤:①确定电路的组成部分

②确定存贮电路的即刻输入和时序电路的即刻输出逻辑式 ③确定电路的次态方程 ④列出电路的特性表和驱动表 ⑤由特性表和驱动表画出状态转换图 ⑥电路特性描述。

例:分析如下图示同步时序电路的逻辑功能

n n n Q K Q J Q +=+1B A J ?=B A K +==+1

n Q

=+1n Q =+1n Q n Q =+1n Q n

Q

解:①确定电路的组成部分

该电路由2个上升沿触发的T 触发器和两个与门电路组成的时序电路

②确定存贮电路的即刻输入和时序电路的即刻输出 存贮电路的即刻输入:对于: 对于:

时序电路的即刻输出: ③确定电路的状态方程 对于: 对于: ④列出状态表和真值表

由于电路有2个触发器,故可能出现状态分别为00、01、10、11

0FF A T o =1FF n o AQ T 0=n n Q AQ I 01=0FF n n Q A Q 010⊕=+1FF n n n Q AQ Q 1011)(⊕=+00000==n n Q Q S 01001==n n Q Q S 10012==n n Q Q S 11013==n n Q Q S 0001Q 1

n

Q 0

n 000A=0A=1Q 1n+1

Q 0

n+1

z

001001010Q 1

n Q 0

n 0A=0

A=1

Q 1n+1

Q 0

n+1

z

0S 0S 1S 0S 100S 1S 2

⑤电路状态图为

⑥电路的特性描述

由状态图,该电路是一个可控模4加法计数器,当A=1时,在CP 上升沿到来后电路状态值加1,一旦计数到11状态,Y=1,电路状态在下一个CP 上升沿加到00,输出信号Y 下降沿可用于触发器进位操作,当A=0时停止计数。 例:试分析下图示电路的逻辑功能

解:①确定电路的组成部分

该电路由3个上升沿触发的D 触发器组成 ②确定电路的太方程

对于:(CP 上升沿到来有效) 对于:(CP 上升沿到来有效)

0FF n n Q D Q 2010==+1FF n n Q D Q 0111==+

对于:(CP 上升沿到来有效) ③列出状态转换真值表

④由状态表转换真值表画出如下图示状态图

、、、、、这6个状态,形成了主循环电路,、

为无效循环

⑤逻辑功能分析

由状态图可以看出,此电路正常工作时,每经过6个时钟脉冲作用后,电路的状态循环一次,因此该电路为六进制计数器,电路中有2个无效状态,构成无效循环,它们不能自动回到主循环,故电路没有自启动能力。

2FF n n Q D Q 1212==+0S 1S 3S 7S 6S 4S 2S 5S 100000111101111111111110101Q 1

n

Q 2

n

Q 1

n+1

Q 2

n+1

000

10000

00000000Q 0

n

Q 0

n+1

11110

Q 1n

Q 2

n

Q 1n+1

Q 2

n+1

Q 0

n

Q 0

n+1

S 0S 0S 1S 1S 2S 2S 3S 3S 4S 4S 5S 5S 6

S 6S 7S 7

有效循环

无效循环

三、同步时序电路设计

同步时序设计一般按如下步骤进行: 1)根据设计要求画出状态逻辑图; 2)状态化简; 3)状态分配;

4)选定触发器的类型,求输出方程、状态方程和驱动方程; 5)根据方程式画出逻辑图;

6)检查电路能否自启动,如不能自启动,则应采取措施加以解决。

例:用JK 触发器设计一同步时序电路,其状态如下表所示,分析如图示同步时序电路。 解:

由题意,状态图已知,状态表已知。故进行状态分配及求状态方程,输出方程。

由于有效循环数N=4,设触发器个数为K,则≥4得到K=2. 故选用2个JK 触发器,将状态表列为真值表,求状态方程及输出方程。

k 210/0101Q 1

n

Q 2

n

Q 1

n+1

Q 2

n+1

1

1000

01/0Y A=0A=111/000/1

11/000/001/010/1

数字电路设计试题湖南大学版

10、时序电路按(输出与现态和输入的关系)可分为:Mealy型和Moore型 二、判断题(下列各题,你认为正确的,请在题末的括号内打“√”,错的打“×”,并更正。每小题2分,共10分) 1、如果逻辑函数表达式在一定条件下可变成X+X‘或者X X’的形式,则该函数表达式可能产生冒险。(√) 2、本质冒险产生的原因:经过激励逻辑和反馈通路的传播延迟的最小值大于通过“输入逻辑”的最大定时偏移。(√) 3、CMOS反向门比非反向门所用的晶体管要少。(√)

4、如果竞争的结果导致电路最终进入同一稳定总态,则称为临界竞争。(×) 5、门电路的扇出是表示输出电压与输入电压之间的关系。(×) 门电路所具有的输入端的数目称为扇入。 扇出是指该门电路在不超过其最坏情况负载规格下能驱动的输出端个数。 三、简答题(每题5分,共10分) 1、请列出3种“曾经是模拟的”现在却“已经成为数字的”系统,并简述为什么会有这种转变。 2、采用CMOS晶体管实现的“与非门”和“或非门”,哪个速度快?为什么? 四、应用题(共70分) 1、已知接收端收到的汉明码码字a7a6a5a4a3a2a1=1100010,问在最多一位错的情况下发送端发送的码字是什么?(5分) 答:第三位出错,应该是1100110 2、用卡诺图化简下列函数:(5分) 3、旅客列车分为特快A,直快B和慢车C,它们的优先顺序为:特快、直快、慢车。同一时间内,只能有一趟列车从车站开出,即只能给出一个开车信号,试设计满足上述要求的开车信号控制电路。(10分) (1)列出真值表(5分) (2)写出最简的输出逻辑表达式(5分) 4、运用一个MSI器件实现余3码向8421BCD码的转换。(10分) 5、运用“圈到圈”逻辑设计思想,采用74X138译码器和适当的逻辑门设计一个1位十进制数2421码的奇偶位产生电路(假定采用奇检验)。(10分) 注:此题中用的不熟74138。我们在做时要用两个74138级联。详见教材P274 6、分析下图所示的时钟同步状态机(状态Q1Q2=00 ~ 11使用状态名A ~ D)。(10分) 1)作出状态/输出表(5分)。 2)说明它是Mealy机还是Moore机(2分) 3)说明这个电路能对何种输入序列进行检测。(3分) 答案没有找到。同类型题7.12 7、作“0101”序列检测器的Mealy型状态表和Moore型状态表。凡收到输入序列为“0101”时,输出为1;并规定检测的“0101”序列不重叠。典型输入输出序列如下:(10分)

数字电子技术期末考试试卷

09级2011年数字电子技术考试试卷 开课学院:通信工程学院 一、填空题:(每空1分,共14分) 1、数制转换:,。 2、若A/D转换器(包括取样—保持电路)输入模拟电压信号的最高变化频率为10kHZ,则取样频率的下限为()。 3、正数的补码和它的()相同,负数的补码可通过将( )得到。 4、试列出3种输出端可以并联使用的门电路:()、()、()。 5、()和()是构成各种复杂数字系统的基本逻辑单元。 6、()和()是衡量A/D转换器和D/A转换器性能优劣的主要标志。 二、化简题:(每小题6分,共12分) (1)、用逻辑函数公式某法证明:

B’CD’+BC’D+ACD+A’BC’D’+A’B’CD+BC’D’+BCD=B’C+BC’+CD。 (2)、试用卡诺图法化简下式,要求画出卡诺图,并勾圈化简:。 三、由与非门构成的某表决电路如图1所示,其中ABCD表示4个人,L=1时表示决议通过。(共10分) (1)试分析电路,说明决议通过的情况有几种。 (2)分析ABCD四个人中,谁的权利最大。

图1 四、某逻辑函数的真值表如表1.2所示,试将74HC153扩展为8选1数据选择器,再实现该逻辑函数。74HC153的功能与逻辑符号分别见表1.1和图2。(共15分)

五、已知74LS138的逻辑符号见图3,逻辑功能见表2.试画出用两片74LS138组成4线-16线译码器的接线图,并说明设计原理。(共10分) 图3 表2、74LS138功能表 使能端选择输入端输出端 S1 A2 A1 A0 × 1 0 ×××× ××× 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 0 1 0 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1

《数字电路》期末模拟试题及答案

- 1 - 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1=;Y 2 = ;Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____ c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

信号系统与数字电路考试大纲

《信号系统与数字电路》(科目代码842)考试大纲 特别提醒:本考试大纲仅适合2014年硕士研究生入学考试。该门课程包括两部 分内容,(-)信号与系统部分,占75分。(二)数字电路部分;两部分,占75分。 (一)信号系统部分 1.考研建议参考书目 《信号与系统》(第二版),于慧敏等编著,化学工业出版社。 2.基本要求 要求学生掌握用基本信号(单位冲激、复指数信号等)分解一般信号的数学表示和信号分析法;掌握LTI系统分析的常用模型(常系数线性微分、差分方程,系统函数,零极点图及模拟框图等)以及它们之间的转化;掌握系统分析的时域法和变换域法。要求学生掌握信号与系统分析的一些重要概念,信号与系统的基本性质,以及基本运算;掌握信号与系统概念的工程应用:调制、采样、滤波、抽取与内插,以及连续时间LTI系统的离散实现。 一.信号与系统的基本概念 (1)连续时间与离散时间的基本信号 (2)信号的运算与自变量变换 (3)系统的描述与基本性质 二.LTI系统的时域分析 (1)连续时间LTI系统的时域分析:卷积积分,卷积性质 (2)离散时间LTI系统的时域分析:卷积和,卷积性质 (3)零输入,零状态响应,完全响应 (4)LTI系统的基本性质 (5)用微分方程、差分方程表征的LTI系统的框图表示 三.连续时间信号与系统的频域分析

(1)连续时间LTI系统的特征函数 (2)连续时间周期信号的傅里叶级数与傅立叶变换 (3)非周期连续时间信号的傅里叶变换 (4)傅里叶变换性质 (5)连续时间LTI系统频率响应与频域分析 (6)信号滤波、理想低通滤波器 四. 离散时间信号与系统的频域分析 (1)离散时间LTI系统的特征函数 (2)离散时间周期信号的傅立叶级数与傅立叶变换 (3)非周期离散时间信号的傅立叶变换 (4)傅立叶变换的性质 (5)离散时间LTI系统的频率响应与频域分析 五.采样、调制与通信系统 (1)连续时间信号的时域采样定理 (2)欠采样与频谱混叠 (3)离散时间信号的时域采样定理,离散时间信号的抽取和内插(4)连续时间LTI系统的离散时间实现 (5)连续时间信号正弦载波幅度调制与频分复用 (6)脉冲幅度载波调制与时分复用 六. 信号与系统的复频域分析 (1)双边拉氏变换,拉氏变换的收敛域,零极点图 (2)常用信号的拉氏变换对 (3)拉氏变换性质 (4)拉氏反变换

中南大学数字电子技术基础期末考试试卷(四套附答案)

中南大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) 1. 逻辑函数Y = AB-^C的两种标准形式分别为 ()、()。 2. 将2004个“1 ”异或起来得到的结果是()。 3. 半导体存储器的结构主要包含三个部分,分别是()、()、()。 4. 8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则 输出电压为()v;当输入为10001000,则输出电压为()V。 5. 就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰 能力强,()的转换速度快。 6. 由555定时器构成的三种电路中,()和()是脉冲 的整形电路。 7. 与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用 了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方 便灵活。 二、根据要求作题:(共15分) 1. 将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门” 来实现。 2. 图1、2中电路均由CMOS门电路构成,写出P、Q的表达式,并画出对应A、B、C的 P、Q波形。

A B C p 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000-111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421 BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图o (15分) 五、已知电路及CP. A的波形如图4(a)(b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分)

数字电子技术期末复习题库及答案完整版

数字电子技术期末复习 题库及答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

第1单元能力训练检测题 一、填空题 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑 关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和 非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和 非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。 (对) 3、8421BCD码、2421BCD码和余3码都属于有权码。 (错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。 (对)

数字电路与数字系统考试大纲

深圳大学通信与信息系统研究生入学考试大纲 科目名:《数字电路与数字系统》 一. 考试内容 1.数字逻辑基础 (1)常用数制二进制、八进制、十进制、十六进制数及其转换。 (2)几种简单的编码BCD码:8421码、5421码、2421码、余3码;格雷码。 (3)基本逻辑运算和复合逻辑运算与、或、非、与非、或非、与或非、异或、同或。 (4)基本逻辑定律和规则逻辑函数的相等,基本逻辑定理,逻辑代数的三条规则,常用 公式。 (5)逻辑函数的标准形式与-或式和或-与式,两种标准形式,真值表和逻辑函数式。 (6)逻辑函数的化简公式化简法,卡诺图化简法。 2. 逻辑门电路 (1)晶体管开关特性半导体二极管开关特性,半导体三极管开关特性,MOS管开关 特性。 (2)TTL门电路TTL与非门典型电路及其工作原理、电压传输特性、静态输入和输 出特性、动态特性。 (3)其他类型的TTL门OC门、三态输出门电路结构、工作特性。 (4)MOS门电路各种NMOS门电路的电路结构,各种CMOS门电路的电路结 构,CMOS集成电路的特点。 (5)TTL与CMOS电路的接口。 3. 组合逻辑电路

(1)由门电路构成的组合电路的分析和设计组合电路的一般分析方法, 组合电路的 一般设计方法。 (2)由中规模集成电路构成的组合逻辑电路自顶向下的模块化设计方法;二进制、 二-十进制编码器的电路结构,通用编码器集成电路的扩展和应用;二进制、二-十进制译码器的电路结构,通用译码器集成电路的扩展,利用译码器构成组合逻辑电路,LED显示器,显示译码器的设计和应用;数据选择器电路设计,通用数据选择器集成电路的扩展,利用数据选择器构成组合逻辑电路;数据分配器的构成和应用;半加器和全加器电路结构,高速加法器电路,加法器应用(如码转换器、减法器、十进加法器等);数值比较器电路结构,多位数值比较器的构成。 4. 时序逻辑电路引论 (1)时序逻辑电路的基本概念时序逻辑电路的结构模型,状态表,状态图。 (2)存储器件锁存器的电路结构和工作原理(门控RS锁存器、RS锁存器、D锁存 器);触发器的电路结构和工作原理(主从RS触发器、主从D触发器、主从JK 触发器、维持阻塞D触发器、CMOS边沿触发器);触发器逻辑功能转换,触发器应用。 5. 时序逻辑电路的分析与设计 (1)由中规模集成电路构成的时序逻辑电路寄存器和移位寄存器电路结构和常用 集成电路,移位寄存器应用;计数器电路设计(同步二进制计数器、异步二进制计数器、二进制可逆计数器、同步十进制计数器、异步十进制计数器),利用通用集成计数器构成任意进制计数器;环形计数器和扭环形计数器的设计和应用。 (2)由小规模集成电路构成的时序逻辑电路的分析和设计同步时序逻辑电路的分

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数C B C A C B A Y ++??=1(5分) 答案:C B A B A C C B C A C B A Y +)+?(=++??=1(1分)=C B A B C +)+((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知7400为四个2输入与非门,其20=OL I ,1=OH I ,2=IL I ,μA 50=IH I ,计算7400最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,(2分);IH H OH 2≥I N I ,(2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应、、D 的Q 端波形。(4分) 答案: 5. 8位数模转换器0832构成的电路如图1.2所示。(1)写出输出电压O v 的计算公式;(2)若输入数 AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图Q PR PR (a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -(3分) (2)=6.3-78 REF 2×2 V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2.73578 (2分) 二(10分)、 图2 (a )由集成3线-8线译码器74138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74138的功能表如图(b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74151的逻辑符号如图3所示,试用74151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 D m D m D m D m D m D m D m ?+?+?+?+?+?+?=7643100(3分) V O v 2 .1图 G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a) (b)A B Y 10000001111110000000C 11 1111 111Y 200 00 11 11001 输 入输 出

数字电子技术基础期末考试试卷及答案1[1]

填空题 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方 程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。二、选择题1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中, 输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。

A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为( D)。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有( C)个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式 Y= + C +A D,约束条件:A C + A CD+AB=0 四、分析下列电路。(每题6分,共12分) 1、写出如图1所示电路的真值表及最简逻辑表达式。

数字电子技术期末试题库

【数字电子技术】【试题库】 一、填空题 1.电子电路中的信号可分为两大类,即模拟信号和。 2.数字信号是时间上和上都不连续的信号。 3.十进制数176转换成二进制数为。 4.二进制数11010011转换成十进制数为。 5.所谓二-十进制编码,就是用若干位二进制码元按一定的规律排列起来表示十进制数的过程,也称为码。 6.目前,国际最通用的处理字母、专用符号和文字的二进制代码就是美国标准信息交换码,即码。 ?+?=。 7.二进制数的逻辑运算0111 8. 二进制数的逻辑运算11=。 ⊕=。 9. 二进制数的逻辑运算11 +?=。 10.利用逻辑代数公式,对右式进行化简,A A B ++?=。 11.利用逻辑代数公式,对右式进行化简,A B A B 12.逻辑代数的三条重要规则分别是代入规则、反演规则和。 13.由n个逻辑变量组成的不同最小项个数为个。 14.由n个变量组成的“与或”逻辑表达式,若其中每一项均是关于n个逻辑变量的最小项,则称这一表达式为。 15.利用卡诺图求解最简逻辑表达式时,需要画方格圈,其中有三条要求:将2n个值为1的方格划为一个方格圈,方格圈的数量应(越少/越多)越好,方格圈所含的方格数应(越少/越多)越好。 16.三极管作为开关元件,通常工作在截止区和。 17.集成门电路主要有TTL门电路和。 18.三态门电路的输出有高电平、低电平和共3种状态。 19.TTL集成门电路是由半导体构成的,由于它工作速度快,带负载和抗干扰能力强,因而在数字电路中应该广泛。 20.根据逻辑功能的不同特点,数字逻辑电路可以分为两大类:组合逻辑电路和。 21.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现干扰脉冲,从而导致逻辑电路产生错误输出的现象,称为。 22.触发器有两种稳定状态,即0状态和。 23.RS触发器由两个门电路首尾相连构成。 24.为了避免基本RS触发器输出存在不确定的情况,对其输入端设置了相应的约束条件是。

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数B A B A Y ++??=1(5分) 答案:C B A A C C B C A C A Y +)+?(=++??=1(1分)=C B A C +) +((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知74LS00为四个2输入与非门,其20=OL I mA ,1=OH I mA ,2=IL I mA ,μA 50=IH I ,计算74LS00最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,10=≤ IL OL L I I N (2分);IH H OH 2≥I N I ,10=2≤IH OH H I I N (2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应CLK 、、D 的Q 端波形。(4分) 答案: AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图(a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -i i i D V 22 ∑ 7 8 REF (3分) (2)=6.3-7 8 REF 2×2V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2 .73578(2分) 二(10分)、 图2( a )由集成3线-8线译码器74HC138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74HC138的功能表如图( b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74HC151的逻辑符号如图3所示,试用74HC151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 V O v 2 .1图 Y G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a)(b)A B Y 10000001111110000000C 11 1111111Y 200 00 11 11001输 入 输 出

数字电子技术期末考试题及答案(经典)

xxx~xxx学年第x学期 《数字电子技术》期末复习题 第一部分题目 一、判断题(每题2分,共30分。描述正确的在题号前的括号中打“√”,错误的打“×”)【】1、二进制有0 ~ 9十个数码,进位关系为逢十进一。 【】2、(325)8 >(225)10 【】3、十进制数整数转换为二进制数的方法是采用“除2取余法”。 【】4、在二进制与十六进制的转换中,有下列关系:(100111010001)2=(9D1)16 【】5、8421 BCD码是唯一能表示十进制数的编码。 【】6、十进制数85的8421 BCD码是101101。 【】7、格雷码为无权码,8421 BCD为有权码。 【】8、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 【】9、逻辑变量的取值,1比0大。 【】10、在逻辑代数中,逻辑变量和函数均只有0和1两个取值,且不表示数量的大小。【】11、逻辑运算1+1=1 【】12、逻辑运算A+1+0=A 【】13、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 【】14、在时间和幅度上均不连续的信号是数字信号,所以语音信号是数字信号。 【】15、逻辑函数的运算次序为:先算括号内,后算括号外;先求与,再求或,最后求非。【】16、AB A C BC AB A C ++=+ 【】17、逻辑函数表达式的化简结果是唯一的。 【】18、逻辑真值表、逻辑表达式、逻辑图均是逻辑关系的描述方法。 【】19、n个变量组成的最小项总数是2n个。 【】20、逻辑函数的化简方法主要有代数化简法和卡诺图化简法。 【】21、逻辑函数化简过程中的无关项一律按取值为0处理。 【】22、数字电路中晶体管工作在开关状态,即不是工作在饱和区,就是工作在截止区。【】23、TTL或非门的多余输入端可以接高电平。 【】24、某一门电路有三个输入端A、B、C,当输入A、B、C不全为“1”时,输出Y为“0”,输入A、B、C全为高电平“1”时,输出Y为“1”,此门电路是或门电路。【】25、将三输入与非门中的两个输入端都接高电平,就可以实现非门功能。 【】26、基本的逻辑关系有与、或、非三种,其实现单元电路分别为与非门和或非门两种。【】27、CMOS门电路的输入电流大于TTL门电路的输入电流。 【】28、组合逻辑电路的基本组成单元是门电路。 【】29、组合电路没有记忆功能。 【】30、组合电路是一种具有记忆功能的逻辑电路。

杭州电子科技大学-2019年-自命题科目考试大纲-849数字电路与信号系统

杭州电子科技大学 全国硕士研究生入学考试业务课考试大纲 考试科目名称:数字电路与信号系统科目代码:849 数字电路部分 一、数字与编码 1、数制变换:二进制、八进制、十六进制与十进制的整数和小数转换。 2、数的表示形式:有符号数和无符号数的运算、处理;原码、反码和补码表示方法和性质。 3、常见编码:常用8421BCD码、余3码和格雷码等性质和特点。 二、逻辑门功能及其电路特性 1、CMOS门电路外部特性:输入、输出和传输特性,阈值电平和低功耗特性。 2、CMOS逻辑门基本结构与工作原理。 3、特殊门电路:三态门、OC/OD门、CMOS传输门的特性及应用。 三、逻辑函数运算规则及化简 1、逻辑基本概念:与或非代数系统的定义、性质。 2、逻辑函数的表述方法和形式:最大项、最小项,“与或式”和“或与式”转换。 3、逻辑代数运算规则:常用的逻辑运算定律和公式,反函数和对偶函数变换。 4、逻辑证明:逻辑表达式变换和推导、证明。 5、逻辑化简:公式法和卡诺图化简逻辑函数,一次降维卡诺图的变换。 四、逻辑电路设计与分析 1、组合逻辑电路分析:采用门电路构成的组合电路以及采用编码器、译码器、数据选择器、数据分配器、加法器和比较器等中规模组合集成电路构成的组合逻辑电路分析系。 2、组合逻辑电路设计:采用门电路设计组合逻辑电路;采用译码器或数据选择器设计组合逻辑电路。 3、中规模组合集成电路芯片的应用。 4、广义译码器的概念。 五、触发器及含触发器的PLD 1、常见触发器特性:基本RS触发器、电平型D锁存器、边沿型D触发器、边沿型JK触发器、T和T’触发器的功能和特性方程。 2、触发器转换:不同触发器的相互转换。 第1页共5页

清华大学数字电路汇总题库

清华大学数字电路题库 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ)

B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A +

数字电路期末考试试卷及答案

2010-2011学年度第一学期 09级电子技术基础(数字部分)期末考试试卷 一、填空题(本大题共15小题,每空1分,总计30分) 1、 (127)10= ( )2= ( ) 8421BCD。 2、5个变量可构成个最小项,全体最小项之和为。 3、基本逻辑运算有、、 3种。 4、描述逻辑函数各个变量取值组合和函数值对应关系的表格叫。 5、3线—8线译码器74LS138处于译码状态时,当输入A2A1A0=011时,输出= 。 6、对于T触发器,当T= 时,触发器处于保持状态。 7、某计数器的输出波形如图1所示,该计数器是进制计数器。 CP Q 1 Q 2 Q (图1) 8、触发器有个稳定状态,用来寄存和。这种无外加触发信号时能维持原态不变的功能称功能。在外加触发信号作用下,触发器状态。 9、要完成二进制代码转换为十进制数,应选择的电路是:。 10、所谓计数器,是指能和输入脉冲个数的逻辑部件,它是利用触发器的功能来实现的。 11、对于JK触发器,若J=K,则可完成触发器的逻辑功能;若K= J,则可完成触发器的逻辑功能。 12、加法器是用来完成二进制数的加法运算的,它分为和。 13、用表示某些特定含义的代码就称为编码;而把的过程称为,它是编码的逆过程。 14、一个十进制加法计数器需要由个JK触发器组成。 15、3位二进制计数器累计脉冲个数为;4位二进制计数器累计脉冲个数为。 二、单项选择题(本大题共10小题,每小题2分,总计20分) 1、要将方波脉冲的周期扩展16倍,可采用:。 A、16进制计数器 B、十位二进制计数器 2、能实现串行数据变换成并行数据的是:。 A、编码器 B、译码器 C、移位寄存器 D、二进制计数器3、构成4位寄存器应选用个触发器。 A、2 B、4 C、6 D、8 4、对于由3个D触发器组成的单向移位寄存器,3位串行输入数码全部输入寄存器并全部串行输出,则所需要的移位脉冲的数量为。 A、12 B、6 C、3 D、2 5、具有“置0”、“置1”、“保持原状”、“状态翻新”,被称为全功能的触发器的是。 A、D触发器 B、T触发器 C、JK触发器 D、同步RS触发器 6、在触发脉冲作用下,每来一个触发脉冲,触发器的状态就翻转一次的触发器是:。 A、D触发器 B、T,触发器 C、JK触发器 D、同步RS触发器 7、对于基本RS触发器,若S=R=0,则。 A、Q=Q=0 B、Q=Q=1 C、Q=1,Q=0 D、Q=1,Q=0 8、存储8位二进制信息要个触发器。 A、2 B、4 C、6 D、8 9、对于一个共阳极型数码管,若译码器输出送数码管驱动的abcdefg=0000110,则显示的字符为。 A、2 B、3 C、E D、F 10、在下列逻辑电路中,不是组合逻辑电路的是:。 A、译码器 B、运算放大器 C、全加器 D、编码器 三、问答及作图题(本大题共4小题,每小题8分,共32分) 1、触发器的描述方法有哪些?请列举其中四种。 2、异步计数器在结构上有何特点?同步计数器在结构上有何特点? 3、两个D触发器构成的电路如图二所示,设初态Q0=Q1=1,试画出在5个脉冲作用下Q0、 Q、Q1 、 1 Q端的输出波形。 CP (图二) Q Q Q1 CP 1 Q

《数字电路》期末模拟试题及答案 3

1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于___ ___偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A -B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1 =______,其约束方程为:______。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___个输入 端,____输出端。 8. 下图所示电路中,Y 1 Y 3 =______。 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F * 为________。 A .()()D C B A ++ B. ()()D C B A ++ C. ()()D C B A ++ 3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B . 每个与项中含有的变量个数少 C . 化简结果具有唯一性 A 1 A B 3

5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B . AB C Y = C .C AB Y += D .C C B Y += 化简下列逻辑函数,写出最简与或表达式: 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A + ++? 分析设计题: 1.双四选一数据选择器如图所示,其功能表达式如下。现要实现八选一数据选择器的功能(地址信号为 A 2A 1A 0,数据输入端信号为 D 7 ~ D 0 ) ,请画出电路连接图。 1A A A A D Y =(2D Y =( 2.TTL

《信号系统与数字电路》(科目代码842)考试大纲

1.臧春华等,现代电子技术基础(数字部分),北京:北京航空航天大学出版社,2005; 2.管致中夏恭恪编,《信号与线性系统》,高等教育出版社,2004年1月第四版; 3.郑君里等,《信号与系统》,高等教育出版社,2000年5月第二版; 4.A.V. Oppenheim,《信号与系统》-影印版,清华大学出版社,1999年1月。 数字电路部分 一、数制与编码 1. 十进制数、二进制数、八进制数和十六进制数及其相互转换 2. 二进制数的算术运算 3. 二进制原码、补码和反码 4. 带符号数的表示方法 5. 用反码和补码进行加/减运算 6. 二―十进制码、格雷码、ASCⅡ码及其特性 二、逻辑函数及其化简 1. 布尔代数常用的基本公式及运算规则 2. 逻辑函数及其表示方法(逻辑表达式与真值表及卡诺图相互关系、积之和表达式与最小项表达式、和之积表达式与最大项表达式) 3. 逻辑函数的公式法化简 4. 逻辑函数的卡诺图法化简 5. 未完全规定的逻辑函数的化简 三、组合逻辑电路 1. 集成逻辑电路的电气特性及主要电气指标 2. 逻辑电路的输出结构 3. 逻辑符号与正、负逻辑极性 4. 常用组合逻辑模块及其应用(加法器、数值比较器、译码器、数据选择器) 5. 组合电路的设计方法(用SSI和MSI进行设计) 6. 险象与竞争(逻辑险象和功能险象的判别与消除方法) 四、时序电路分析 1. 集成触发器及其应用(R-S触发器、D触发器、J-K触发器、T与触发器、异步计数器) 2. 同步时序电路分析(同步时序电路的结构和代数法描述、米里型电路的状态表(图)、莫尔型电路的状态表(图)、自启动性) 3. 集成计数器及其应用(74163和74192) 4. 集成移位寄存器及其应用(74194) 5. 随机访问存储器(RAM的组成与原理、RAM扩展与地址译码) 五、同步时序电路设计 1. 原始状态表的建立 2. 用D触发器或JK触发器设计同步时序电路 3. 以多D触发器为核心设计同步时序电路 六、可编程逻辑器件及其应用 1. PLD的基本原理(PLD的基本组成、编程技术、阵列结构、PLD中阵列的表示方法) 2. 简单可编程逻辑器件SPLD原理与应用(只读存储器PROM、可编程逻辑阵列PLA) 七、集成数/模和模/数转换器 1. 常用D/A转换技术(T形及倒T形电阻网络DAC、电流激励形DAC)

数字电路期末试题及答案(绝密)

《数字电子技术基础》期终考试试题(110分钟)一、填空题:(每空1分,共15分) 1.逻辑函数Y A B C =+的两种标准形式分别为 ()、()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。 7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门” 来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、 B、C的P、Q波形。

三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。 四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分)

数字电路期末试卷

2015-2016年第一学年度 汕尾市职业技术学校电子线路期末考试(开卷) 班级___________ 姓名__________ 分数__________ 一选择题(本大题共十道小题,每小题2分) 1、模拟电路中晶体管大多工作于( )。 A.放大状态 B.截止状态 C.击穿状态 D.饱和状态 2、当逻辑函数有n个变量时,共有( )个变量取值组合? A. n B. 2n C. n2 D. 2n 3、十进制数25用8421BCD码表示为( )。 A、10 101 B、0010 0101 C、100101 D、100101 4、下列逻辑式中,正确的逻辑公式是( )。 A.A+B=A B B. A+B=A B + C. A+B=AB D. A+= 00 5、二输入端的与非门,其输入端为A、B,输出端为Y,则其表达式Y= ()。 A、AB B、AB C、B A+D、A+B 6、逻辑式A+BC=( )。 A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 7、辑电路如图示,其逻辑式为( )。 A.F=A+BC B、F=A B C ?+ C、F=A B C ++D、F=A·BC

1 1& ≥1 1F A B C 8、一个T触发器,在T=0时,加上时钟脉冲,则触发器()。 A、保持原态 B、置0 C、置1 D、翻转 9、欲对全班43个学生以二进制代码编码表示,最少需要二进制码的位数是 ()。 A、5 B、6 C、8 D、43 10、下列电路中,不属于组合逻辑电路的是( ) A.译码器B.全加器 C.寄存器 D.编码器 二填空题(本大题共十小题每小题2分) 1、数字信号的特点是在上和上都是断续变化的,其高电平和低电平 常用和来表示。 2、OC门的输出端可并联使用,实现________功能;三态门可用来实现 ______________。 3、(35)10 =()2 = ( )8421BCD 4、基本逻辑运算有________、________、________3种。 5、在RS、JK、T和D触发器中,_____触发器的逻辑功能最多。 6、组合电路由________________构成,它的输出只取决于_ ________________ 而与原状态无关。

相关主题
文本预览
相关文档 最新文档