当前位置:文档之家› 数字电路彩灯循环

数字电路彩灯循环

数字电路彩灯循环
数字电路彩灯循环

题目: 彩灯循环显示控制电路设计

初始条件:

74LS160计数器、74HC390计数器、74HC139译码管、74HC113JK触发器,555定时器、数码管和必要的门电路,以及电容电阻等基本模拟电路电子器件等。

要求完成的主要任务:

(1) 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后又依次显示出自然数列、奇数列、偶数列和音乐符号数列…….,如此周而复始,不断循环。

(2)打开电源时,控制器可自动清零。

(3)每个数字的一次显示时间基本相等,这个时间在0.5s到2s范围内连续可调,时间安排:

7.1:理论设计

7.2~7.4:安装调试或仿真

7.5:撰写报告

7.6:答辩

指导教师签名:2010 年月日

系主任(或责任教师)签名:年月日

目录

摘要 (3)

1整体电路方框图 (5)

2设计方案选择 (6)

2.1数列循环部分 (6)

2.2数列显示部分 (12)

3单元电路的设计及其原理 (13)

3.1数列循环电路的设计 (13)

3.2序列显示电路的设计 (14)

3.2.1十进制自然序列的显示电路 (14)

3.2.2奇数序列显示电路 (16)

3.2.3偶数序列显示电路 (16)

3.2.4音乐序列显示电路 (17)

3.3脉冲信号的产生电路 (19)

3.4分频电路的设计 (20)

4总电路图的设计 (22)

5仿真结果及分析 (24)

6 心得与体会 (32)

7元件清单 (32)

8参考文献 (30)

9 成绩评定表 (31)

摘要

这次的课程设计主要是用计数器来实现的,这个彩灯循环控制电路的实质就是要产生一系列有规律的数列,然后通过一个数码管显示出来。这里使用的只要就是计数器,计数器在时序电路中应用的很广泛,它不仅可以用于对脉冲进行计数,还可用于分频,定时,产生节拍脉冲以及其他时序信号。运用计数器的不同的功能和不同的接发就可以实现不同的序列输出了。

而这次的内容还包括分电路图的整合,使这个彩灯循环显示器能够按照要求那个依次输出自然序列,奇数序列,偶数序列还有音乐序列。为了实现这个循环输出的功能,在设计的时候还用到了一个以为寄存器,可以利用它的输出端来控制四个计数器的工作情况,可以让四个计数器依次工作,就可以达到要求的依次循环输出数列。

最后还有一个部分就是脉冲的产生基于多谐振荡器可以产生方波,就可以利用它来产生脉冲信号了。而这个多谐振荡器采用的是555定时器来完成的,另外,直接用脉冲信号源提供方波。

这个设计基本上就是由以上三个部分连接在一起组成的。

Abstract

This time curriculum project is mainly realizes with the counter, this colored lantern cyclic control electric circuit's essence is must have a series of orderly sequences, then the nixietube demonstrates through. Here uses, so long as is the counter, the counter the application is very widespread in the sequence circuit.Iit not only may use to the pulse carries on the counting, but may also use in the frequency division, fixed time, produces the metre pulse as well as other succession signal. And different met using the counter different function sends may realize the different sequence to output.

But this time content also includes the power distribution road map the conformity, enables this colored lantern circulation monitor to defer to requests that to output the natural sequence in turn, the odd number sequence, the even number sequence also has music sequence. In order to realize this circulation output function, in design time also used one to think the register, might use its out-port to control four counters the working conditions, might let four counters work in turn, might meet the requirements the circulation output sequence in turn. also has a part

Finally the pulse production may have the square-wave based on the multivibrator, might use it to produce the signal impulse. What but this multivibrator uses is 555 timers completes. this design basically is connects by the above three parts is being composed together.

1整体电路方框图

图1 基本方框图

这个电路框图可以实现设计的要求,依次输出自然数列,奇数序列,偶数序列还有音乐数列,各序列可通过同一芯片的不同接法实现,也可用不同芯片实现,而且还可以通过一个循环电路使之循环输出,序列的输出顺序由译码器控制,由数码管输出各序列,其显示的间隔时间也可以通过调节脉冲信号的频率来进行调整,而脉冲信号可由脉冲产生电路实现,也可直接用脉冲信号源。

2设计方案选择

2.1数列循环部分

方案一

图5 用74LS194构成的循环电路原理图

这个电路图实现循环主要是依靠74LS194的移位功能来完成的。先让开关J1拨至与电源相接,就是接入高电平,这样移位寄存器有了脉冲信号之后就可以实现置数的功能,四个输出端为1000,再将开关J1拨至与地相接也就是接入低电平,这时寄存器就可以实现移位的操作了,然后通过脉冲信号的触发下,寄存器的输出就可以从1000→0100→0010→0001,这样依次循环了。然后四个输出端用来控制计数器的信号控制端就可以控制序列输出了。

循环电路的设计采用74LS194移位寄存器,通过74LS194移位寄存器的四个输出端子分别控制四个计数器工作,74LS194的功能表如表3。

表3 74LS194的功能表

图6 74LS194的引脚图

输入

输出 清零 CLR 控制信号

串行输入

时钟 CLK

工作状态

S1

S0

右移

左移

0 ×

×

× × × 0 1 0 0 ×

× ×

保持 1 0 1 0(1)

×

↑ 右移 1 1 0 × 0(1)

↑ 左移 1

1

1

×

×

置数

方案二

要让四个数列依次循环则采用一个2线--4线译码器和一个四进制计数器。

用译码器的输出依次去控制芯片清零端,再通过一个四进制计数器去控制译码器输入,使其在四个输出间不断循环,而计数器的时钟脉冲则可通过每个芯片的进

位端经过一四输入或门输出来控制。其电路图如图2:

555_VIRTUAL Timer

GND

DIS OUT

RST

VCC THR

CON

TRI R1

R2

Rl C Cf

1

Vs

图2 用译码器实现的循环电路

这个部分主要用到的是74HC390计数器和74HC139译码管,它们的功能表和引脚图分别如下表1表2和图3图4所示:

输入输出

G B A Y3Y2Y1Y0

1 ×× 1 1 1 1

0 0 0 1 1 1 0

0 0 1 1 1 0 1

0 1 1 1 0 1 1

0 1 1 0 1 1 1

图3 74HC390的引脚图图4 74HC139的引脚图

方案一和方案二比较:

这两种方案都可以实现数列的循环,第一种方案需要拨动开关,而第一种就不需要可以自动依次产生数列。另外第二种开关使其依次产生序列还需要一个脉冲控制,而在设计总体的电路的时候四个计数器也需要有脉冲信号的触发,这样的话就要多设计一个方波脉冲的产生电路,另外还要与计数器的脉冲信号匹配,因为74LS194的移位是要一个计数器的全部数列产生完后才下一个脉冲,这样不是很好与计数器的脉冲频率想匹配。但是第二个方案就很好的解决了这个问题,这个方案的数列循环部分就是依靠芯片74HC390和74HC139也就是一个计数器还有一个译码器来实现的。74HC390的脉冲信号是由计数器的进位端来控制的,这样就很好解决了方案一的问题,只有当一个计数器的全部数列输出完了之后才会有脉冲信号过来触发74HC390让它进入下一个状态,没有方案一问题

2.2 数列显示部分

这个部分选用由74LS160D芯片构成,结构简单,原理易懂且易于实现,所以选用该方案,如图7以奇数序列为例:

2.3确立方案

在数列循环的部分我采用的是用一个四进制计数器和一个译码器来实现的,这样避免了脉冲的混乱。

在数列显示部分用的是芯片74LS160计数器的计数功能实现的。

在脉冲信号产生的环节则就是采用555定时器构成的多谐振荡器。

3单元电路的设计及其原理

3.1数列循环电路的设计

在这个部分主要是应用了一个四进制的计数器和一个译码器,这个部分的作用是为了使自然序列,奇数序列,偶数序列,音乐序列的循环显示。其中四个74LS160计数器的进位端与74HC390的CPA相接,这样就可以通过进位端状态由0变为1的瞬间给它一个脉冲触发,而另一个脉冲端则是与其输出端QA 相接的,这样的接法是为了使74HC390实现8421BCD码十进制计数的功能。然后再让74HC390的输出端QA,QB分别与译码器74HC194相接,这样可以用译码器来控制计数器的动作状态,它可以决定由哪个74LS160计数器来工作。当QA,QB为“0”,“0”时,这时译码器的输出端就只有Y0为0,接一个反相器然后再接产生自然序列的计数器的清零端;这样就可以实现只有自然序列输出的功能,同理当QA,QB为“0”,“1”时,这是译码器的输出端就只有Y1为0,接一个反相器然后再接产生奇数序列的计数器的清零端,这样就可以实现只有奇数序列输出的功能; 当QA,QB为“1”,“0”时,这是译码器的输出端就只有Y2为0,接一个反相器然后再接产生偶数序列的计数器的清零端,这样就可以实现只有偶数序列输出的功能; 当QA,QB为“1”,“1”时,这是译码器的输出端就只有Y3为0,接一个反相器然后再接产生音乐序列的计数器的清零端,这样就可以实现只有音乐序列输出的功能。其产生序列的功能就是这样实现的。其电路图如图8:

图8 数列循环电路图

3.2序列显示电路的设计

3.2.1十进制自然序列的显示电路

由于74HC160本身就是一个十进制计数的芯片,因此对于这个部分就只需按照其功能表来接电路就可以实现十进制自然序列输出了。在脉冲信号的触发下,计数器的输出端的状态依次为0000→0001→0010→0011→0100→0101→0110→0111→1000→1001,然后再将计数器的输出端和数码管的输入端口相接就可以在数码管上面看到依次显示从0到9了。其序列显示电路图如图9:

图9 自然序列实现电路图

3.2.2奇数序列显示电路

将奇数1,3,5,7,9用8421BCD码分别表示为:“0001”,“0011”,“0101”,“0111”,“1001”,可以发现最后一位都为1,因此可以在上述十进制自然序列的基础上将数码管的最低位接高电平就可以实现奇数序列了。虽然在每个脉冲触发的作用下,芯片实现的仍然是十进制,但是由于数码管最低位接高电平,在数码管显示的则是奇数列,但是显示的时间间隔是正常自然序列的2倍,为了实现相邻显示时间间隔相等,我们可以利用二分频电路解决上述问题。其序列显示电路图如图10:

图10 奇数序列实现电路图

3.2.3偶数序列显示电路

将偶数0,2,4,6,8用8421BCD码分别表示为“0000”,“0010”,“0100”,“0110”,“1000”,可以发现最后一位都为0,因此可以在上述十进制自然序列的基础上将数码管的最低位接低电平就可以实现偶数序列了。虽然在每个脉冲触发的作用下,芯片实现的仍然是十进制,但是由于数码管最低位接高电平,在数码管显示的则是偶数列,但是显示的时间间隔是正常自然序列的2倍,为了实现相邻显示时间间隔相等,我们可以利用二分频电路解决上述问题。其序列显示电

路图如图11:

偶数序列

图11 偶数序列实现电路图

3.2.4音乐序列显示电路

音乐序列的特点是从0显示到7后又再变为0,这里可以将数码管的最高位固定接低电平就可以实现了。因为74LS160的输出端只有三个与数码管相接,

当74LS160的输出为“1000”和“1001”时,这时由于数码管最高位是固定接低电平的,也就是数码管的输入端仍是“0000”,“0001”。这样数码管的显示就又变成0和1了。其序列显示电路图如图12:

音乐序列

2 音乐序列实现电路图

74LS160D功能表以及引脚图如下表4和图13所示:

表4 74HC160功能表

基于multisim的LED循环彩灯课程设计

课程设计报告 题目:基于Multisim的LED 循环彩灯设计与仿真课程名称:电子技术课程设计 学生姓名:程娅 学生学号:1414020205 年级:2014级 专业:电子信息工程 班级:2班 指导教师:王丽 电子工程学院制 2016年5月

基于Multisim的LED循环彩灯设计与仿真 学生:程娅 指导教师:王丽 电子工程学院电子信息工程专业 1 课程设计的任务与要求 1.1 课程设计的任务 (1)综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用。 (2)深入了解交通灯的工作原理。 (3)锻炼自己的动手能力和实际解决问题的能力。 (4)掌握multisim软件的操作并对设计进行仿真。 (5)通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。 1.2 课程设计的要求 (1)有十只LED,L0 (9) (2)显示方式: ①先奇数灯依次亮; ②再偶数灯依次亮; ③依次循环变化。 (3)显示间隔0.5s,1s可调。 2 LED循环彩灯系统方案制定 2.1 LED循环彩灯电路设计的原理 多谐振荡器是产生矩形脉冲的典型电路,常用来做脉冲信号源。多谢振荡器没有输入端,接通电源便自激振荡。多谢振荡器起振之后,电路没有稳态,只有两个暂稳态,他们交替变化,输出连续的矩形脉冲信号。 用555定时器构成的多谢振荡器,先用555定时器构成施密特触发器,然后将施密特触发器的输出端经RC积分电路接到施密特触发器的输入端。可以通过调节RC的大小来产生所需周期大小的脉冲信号。 2.2 LED循环彩灯电路的设计方案

根据设计要求,可画出此电路的整体框架图,如图1所示。 图1 系统实现流程 3 单元电路设计与分析 3.1 各功能模块的电路设计 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图2所示。 图2 振荡电路

multisim软件的数字电路——彩灯循环控制

数字电路课程设计报告 设计课题:彩灯循环控制器的设计 专业:电子信息科学与技术 班级: 20081421 学生姓名: 学号: 2008142115 学生姓名: 学号: 2008142134 指导教师: 二零一一年六月五日

一、实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 4.掌握multisim软件的操作并对设计进行仿真。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制 器的设计方法。 二、设计要求 1、有十只LED,L 0……L 9 2、显示方式 (1)先奇数灯依次亮 (2)再偶数灯依次亮 (3)依次循环变化 3、显示间隔0.5s,1s可调。 三、设计方案 1)课题的分析 此电路主要由五部分组成,其整体框图如图(一)所示。 图一

2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图(二)所示。 图二 (2)分频电路 用D触发器设置分频电路控制彩灯实现彩灯的显示时间。电路如图三 图三 其中开关C和B用来控制显示方式。

(3)计数器控制电路 用74LS161计数器转换脉冲信号用来控制彩灯的奇偶显示方式。 74LS161N 17 19 图四 (4)计数译码驱动电路 用74154译码74LS161计数器产生的信号驱动彩灯的显示。电路如图五: 74154N 4-16线译码器74154N中00-09接10个LED灯译码。(5)显示电路 用74154驱动彩灯的显示,电路如图六:

《数字电路》课设彩灯循环控制电路设计

《数字电子技术课程设计》报告 ——彩灯循环控制电路设计 摘要 本次电路设计利用555定时器、计数器等设计LED彩灯控制电路。通过按键实现如下循环特性:当按键没有按下时8个彩灯交叉循环点亮:即在前四秒内第1、3、5、7盏灯依次点亮、后四秒内8、6、4、2盏灯依次点亮,而当按键按下一次后(按下两次等效于没有按下),实现8盏灯依次循环点亮(产生灯光追逐音乐、活跃气氛的效果),并设计成同步电路模式。 用555定时器设计的多谐振荡器来提供时序脉冲,其优点是在接通电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号。由于555定时器内部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。之后脉冲信号输入到计数器,同时将计数器输出端QC、QB、QA接到译码器的输入端,当译码器输出电平为低电平时,与其相连接的LED会变亮。LED采用共阳极连接,并串上500Ω的电阻。电路由按键SPST_NC_SB控制,使彩灯进入到不同的循环模式。 电路图连接好后,经Multisim软件调试测试,电路可以实现设计要求,即实现从题中要求的交叉循环显示和音乐序列的循环显示。整体电路采用同步电路模式,采用TTL集成电路,电压V 均为5V。运用了所学的555定时器、译码器、计数器与逻辑门 cc 电路等相应的电路器件,提高了对于数字电子技术这门专业基础课的认识与理解,在

实践中发现不足,努力改正,提高了我自学、创新等能力,同时我们也掌握了相应设计电子电路的能力,有利于今后对于专业课程的学习。 关键词:555定时器计数器译码器彩灯循环控制

循环彩灯的设计与制作

湖南工业大学课程设计 资电气与信息工程 料袋 学院(系、部) 2012-2013 学年第一学 期 课程名称电子设计与制作指导教师xx职称讲师 学生姓名x专业班级电信093学号094012003xx 题目循环彩灯的设计与制作 成绩起止日期2012 年11月19日~2012年11月30 日

湖南工业大学 课程设计任务书 2012-2013学年第一学期 电气与信息工程学院(系、部)电子信息工程专业093班级 课程名称:设计题目: 电子设计与制作循环彩灯的设计与制作 完成期限:2012 年11月19日~2012年11月30日共2

指导教师(签字):年月日 系(教研室)主任(签字):年月

日 电子技术课程设计 设 计说明 书 循环彩灯的设 计与制作 起止日期: 2012 年 11 月 19 日~2012 年 11 月 30 日 学 生姓 名 班 级 学 号 成 绩 指 导教 师 ( 签 字 ) xx 电 信 093 xx

电气与信息工程学院(部) 2012年11 月2 日 1、设计任务及要求 要求设计一个循环彩灯,采用8个LED,实现顺序/逆序流水,LED交替频率可调。自行设计电源部分,为电路板提供直流电源。自行设计信号发生部分,为电路板提供工作频率。根据技术指标进行循环彩灯总体方案设计,说明设计思路,选择相应的元器件型号,列出元器件清单,介绍主要芯片的功能,介绍各具体单元电路设计,画出完整的电路原理图、PCB图。 2、设计思路 循环彩灯主要由桥式变压器、整流电路、滤波电路、稳压电路、555定时器、74ls193计数器、3-8译码器等部分组成。首先是将220V交流电通过变压器转换成较小的交流电,通过桥式整流将电压加到直流负载上从而输出直流电压,通过滤波稳压从而实现其稳定的5V直流电压,使555定时器通过调节滑动变阻器实现秒脉冲震荡器,加到计数器的加法或者减法脉冲端口实现8进制计数,通过译码器从而实现循环彩灯功能。 3、各单元电路说明 1>开关模块 通过可调式电阻来控制电压输入,接通时发光二极管D9点亮。 2>电源电路模块

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

8路输出的彩灯循环控制电路数电说明书(内附电路图)

绪论 数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。 随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。不论是思想,还是视觉,人们都在追求更高的美。特别使在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。 本设计是一个彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化。

本次课程设计在编写时参考了大量优秀教材,并得到太原科技大学机械电子工程学院测控技术与仪器教研室刘畅老师的大力支持,他提出来许多的意见和建议,在此表示衷心的感谢。 由于编者水平有限,本设计说明书难免出现不妥之处,恳请老师和广大读者给与批评并提出宝贵的意见,我将由衷地欢迎与感激。 编者 2010年于太科大

目录 绪论 (1) 一、课程设计题目 (3) 二、课程设计目的 (4) 三、课程设计基本要求: (4) 四、课程设计任务和具体功能 (5) 五、工作原理 (5) 六、设计总框图 (6) 七、电路元器件的说明 (6) 八、总电路图 (27) 九、调试与检测 (28) 十、误差分析: (28) 十一、设计心得体会。 (28) 附录 (28) 参考文献 (28) 一、课程设计题目:8路输出的彩灯循环控制电路

循环彩灯电路设计报告

《数字电子技术基础》课程设计报告 设计题目:彩灯循环控制器的设计 专业: 班级: 姓名: 学号: 指导教师: 设计日期: 2014 年 6 月

课程设计评审意见 (1)设计阶段(30分)——硬件电路运行情况 优()、良()、中()、一般()、差(); (2)报告(60分)——对于课程设计报告撰写的整体评价 优()、良()、中()、一般()、差(); (3)平时表现(10分)——课程设计过程中的表现 优()、良()、中()、一般()、差(); 总评分数: 优()能很好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行全面、系统的总结,并能运用学过的数字电子技术理论知识对某些问题加以分析。态度端正,课程设计期间无违纪行为。 良()能较好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行比较全面、系统的总结。考核时能较圆满地回答老师提出的问题,态度端正,课程设计期间无违纪行为。 中()能够独立完成课程设计的任务,制作的电路板达到规定的主要要求,课程设计报告能对设计内容进行比较全面的总结,在考核时能正确地回答主要问题,态度端正,课程设计时无违纪行为。 一般()课程设计过程中态度基本端正,能够完成课程设计的任务,提交电路板,能够完成报告,内容基本正确;但不够完整、系统,考核中能回答主要问题。 差()课程设计过程中表现不佳,未能完成课程设计要求的内容。 评阅人: 2014年6月25日注: 优(90-100分)、良(80-89分)、中(70-79分)、一般(60-69分)、差(60分以下)

目录 引言 (1) 第一部分:设计方案设计 (2) 1.1方案选 择: (2) 1.2功能设计及分析 (2) 1.2.1 时钟信号功能设计............................................... 错误!未定义书签。 1.2.2 花型控制功能设计 (2) 1.2.3 花型演示功能设计 (3) 1.3 原理图总图及说明 (4) 第二部分:硬件调试总结 (5) 2.1 元器件清单及说明 (5) 2.2 硬件调试 (9) 第三部分:总结 (10) 3.1 设计小结 (10) 3.2 心得体会 (11) 参考文献 (11) 附录 (12)

数电课程设计-彩灯

课程设计报告 ( 2009 -- 2010 年度第二学期) 名称:数字电子技术课程设计 题目:彩灯控制系统 学号: 学生姓名: 成绩: 日期:2010 年5 月21 日

目录 一、电子技术课程设计的目的与要求 (3) 二、课程设计名称及设计要求 (3) 三、系统框图及简要说明 (3) 四、方案选择与论证 (4) 五、单元电路设计(原理、芯片、参数计算等) (4) 六、总体电路 (6) 七、实验过程 (6) 八、心得体会 (7) 附录I:总原理图 (7) 附录II:multisim仿真图 (8) 附录III:元器件清单 (9) 附录IV:参考文献 (9)

一、电子技术课程设计的目的与要求 设计目的: 课程设计作为数字电子技术课程的重要组成部分,目的是使学生进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以及分析、解决问题的能力。 按照本专业培养方案要求,在学完专业基础课数字电子技术课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计小型数字系统的方法,独立完成系统设计及调试,增强学生理论联系实际的能力,提高学生电路分析和设计能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 设计要求: 1.教学基本要求 要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的组装及调试工作;在课程设计中要注重培养工程质量意识,按要求写出课程设计报告。 2.能力培养要求 (1)通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 (2)通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 (3)掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 (4)综合应用课程中学到的理论知识去独立完成一个设计任务。 (5)培养严肃认真的工作作风和严谨的科学态度。 二、课程设计名称及设计要求 彩灯控制电路: 1.设计任务 本课题要求设计一个具有一定变幻功能的四路彩灯显示系统。 2.技术指标及要求: (1)四路彩灯从左向右逐次渐亮,间隔为1秒。 (2)四路彩灯从右向左逐次渐灭,间隔为1秒。 (3)四路彩灯同时点亮,时间为0.5秒,然后同时变暗,时间为0.5秒, 反复4次。 3. (选做)多种图形方案选择显示。 三、系统框图及简要说明 图一简化设计框图

彩灯循环显示控制电路设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 彩灯循环显示控制电路设计 初始条件: 74LS160计数器、74HC390计数器、74HC139译码管、脉冲发生器、数码管和必要的门电路,可以选用其他的计数器和集成电路,但必须给出原理说明 要求完成的主要任务: 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列......如此周而复始,不断循环。 设计要求 ①打开电源时,控制器可自动清零。 ②每个数字的一次显示时间基本相等,这个时间在0.5s到2s范围内连续可调。 ③确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 ④用EWB软件或者multisim软件或者Quartus软件完成仿真。 指导教师签名: 2008 年 6月 2日 系主任(或责任教师)签名:年月日

目录 摘要 (1) 1主要任务 (2) 2技术要求 (2) 3基本组成方框图 (2) 4设计方案 (3) 4.1数列循环部分 (3) 4.2数列显示部分 (7) 4.3脉冲信号的产生 (8) 4.4方案的确定 (9) 5单元电路的设计及其原理 (9) 5.1数列循环电路的设计 (9) 5.2序列显示电路的设计 (10) 5.2.1十进制自然序列的显示电路 (10) 5.2.2奇数序列显示电路 (11) 5.2.3偶数序列显示电路 (11) 5.2.4音乐序列显示电路 (12) 5.3脉冲产生电路的设计 (13) 5.4二分频电路的设计 (14) 5.5总电路图的设计 (14) 6仿真结果 (16) 6.1脉冲产生电路的仿真 (16) 6.2二分频电路的仿真 (17) 7测试结果分析 (18) 8体会与心得 (19) 9元件清单 (20) 10参考文献 (21)

单片机彩灯循环

《单片机原理及应用》课程设计报告 题目:彩灯循环系统 专业:电子信息工程 班级: 学号: 姓名: 指导教师:

一.实验目的: 《单片机原理与接口技术》课程实习安排在该课程理论教学结束后进行。其目的是通过实习,使学生进一步弄懂所学到的课本知识,巩固和深化对单片机的结构、指令系统、中断系统、键盘/显示系统、接口技术、系统扩展、定时/计数控制、程序设计、应用开发等基本理论知识的理解,提高单片机应用技术的实践操作技能,掌握单片机应用系统设计、研制方面的一般方法,培养利用单片机进行科技革新、开发和创新的基本能力、为毕业后从事和单片机相关的工作打下一定的基础。 二.实验内容: 通过8051单片机实现彩灯循环 三.实验过程: 1.引言 随着人们对房屋的装饰需要彩灯,在许多城市可以看到彩色霓虹灯。LED彩灯由于其丰富的灯光色彩,便宜的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,。。 本方案提出了一种基于8051单片机的彩灯控制方案,实现对LED彩灯的控制。本方案以8051单片机作为主控核心,在主控模块上设有3个按键和8个码LED显示灯,根据需要可以编写若干种亮灯模式,利用其内部定时器T0实现一个基本单位时间为1 ms的定时中断,根据各种亮灯时间的不同需要,在不同时刻输出灯亮或灯灭的控制信号,然后驱动各种颜色的灯亮或灭。与普通LED 彩灯相比,具有体积小、价格低、低能耗等优点。 2.8051单片机引脚功能介绍 首先我们来连接一下单片机的引脚图,如果,具体功能在下面都有介绍。单片机的40个引脚大致可分为4类:电源、时钟、控制和I/O引脚。 ⒈电源: ⑴ VCC - 芯片电源,接+5V; ⑵ VSS - 接地端; ⒉时钟:XTAL1、XTAL2 - 晶体振荡电路反相输入端和输出端。

数电课程设计四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级: 110422学号: 11042215 姓名:陈粤龙 评分:教师: 20 13 年 9 月 23 日

数字电路课程设计任 务书 20 12 -2013 学年第 1 学期第19 周- 20 周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3)四亮四灭,从左向右移动 (4)从1~8从左到右逐次点亮,然后逐次熄灭 (5)四种花样自动变换. 进度安排 1. 布置任务、查阅资料、选择方案、领仪器设备:2天 2。仿真、画PCB线路图:2天 3。领元器件、制作、焊接:3天 4.调试:2天?5. 验收:1天 6. 提交报告:2013-2014学年第一学期2—3周 学生姓名:陈粤龙 指导时间:2周指导地点:E610 任务下达2013年 9月 22日任务完成2013 年 9 月2 5日 考核方式1.评阅□2.答辩□3.实际操作□4.其它□ 指导教师系(部)主任 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份

备查。 2、课程设计结束后与“课程设计小结"、“学生成绩单”一并交院教务存档。

摘要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理.本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS 153的四个输入端,它的地址输入端接双D触发器74LS74的两个输出端,74L S74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D触发器。当彩灯完成一种花样时,双D触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化. 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲分频自动转换控制器数据选择器

彩灯循环显示电路

《数字电子技术课程设计》报告—彩灯循环控制器的电路设计 专业:电子信息工程 班级: 姓名: 学号: 指导教师: 2011年6月12 日

1.课程设计目的 通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。巩固所学理论,提高动手能力、创新能力和综合设计能力。为今后工作奠定坚实的基础。 2.课程设计要求 2.1彩灯能够自动循环点亮。 2.2彩灯循环显示且频率快慢可调。 2.3该控制电路具有8路以上的输出。 3.电路组成框图 此电路主要由三部分组成 振荡电路计数驱动电路显示电路 4.元器件清单 元器件名称个数型号主要参数 集成芯片 1 4017BD-5V 集成芯片 1 LM555CN 电阻 3 1K,1K,300 接地 2 滑动变阻器 1 1K 电容 3 10nF,1uF,1nF 直流电源 1 5V 发光二极管10 LED 5.各功能块电路 5.1振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号。电路中555定时器组成多谐振荡器,输出一定频率的矩形脉冲。

U1 LM555CN GND 1DIS 7OUT 3 RST 4VCC 8THR 6CON 5 TRI 2VCC 5V R11k|?R21k|?C110nF C2 1nF 20 4 VCC R31k|? Key=A 50% 1517 16 555定时器组成的多谐振荡电路 U4 LM555CN GND 1DIS 7OUT 3 RST 4VCC 8THR 6CON 5 TRI 2 5.2 4017BD 工作原理 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。 4017有3个输入端(MR 、CP0和~CP1),MR 为清零端,当在MR 端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1-O9)均为低电平。CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP1端输入。设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光,此时4017芯片则用CP0时钟输入端,用上升沿来计数。

16路循环彩灯设计报告

循环彩灯控制电路的设计 一、课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会使用multisim 软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 二、任务的描述 用中规模集成电路实现节日彩灯控制电路,主要用计数器、译码器、数据分配器和移位寄存器等芯片集成,本次设计特点是用双色发光二极管,能发出红色和绿色两种色光。有以下四种演示花型: 花型1: 16路彩灯同时亮灭,亮、灭节拍交替进行。能花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型3:16路彩灯先从左至右逐路点亮,到全亮后再从右至左逐路熄灭,循环演示。 花型4:16路彩灯分成左、右8路,左8路从左至右逐路点亮、右8路从右至左逐路点亮,到全亮后,左8路从右至左逐路熄灭,右8路从左至右逐路熄灭,循环演示。 要求彩灯亮、灭一次的时间为2秒,每256秒自动转换一种花型。花型转换的顺序为:花型1、花型2、花型3、花型4,演出过程循环演示。 三、设计任务分析 根据任务要求,可将这次任务分成两部分,一部分是输出部分即数据子系统,可用移位寄存器实现外加一个定时器;另一部分是控制电路部分要用数据选择器。 四、具体设计过程的描述 根据彩灯的亮灭规律,为了便于控制,决定采用移位型系统方案,即用移位寄存器模块的输出驱动彩灯,彩灯亮、灭和花型的转换通过改变移位寄存器的工作方式来实现。16路彩灯需要移位寄存器模块的规模为16位,但为了便于实现花型4的演示花型,将其分为左、右两个8位移位寄存器模块LSR8和RSR8。 由于彩灯亮、灭一次的时间为2秒,所以选择系统时钟CLK的频率为0.5Hz,使亮灭节拍与系统时钟周期相同。此时,256秒花型转换周期可以用一个模128的计数器对CLK脉冲计数来方便地实现定时,定时器模块取名为T256S。 将整个系统分为数据子系统和控制子系统,根据它们各自的不同功能划分,上述两个8位移位寄存器模块LSR8、RSR8和256秒定时器模块T256S 显然属于数据子系统,实现数据子系统操作控制功能的部分即为控制子系统,控制器模块取名为CONTR。

循环彩灯数电课程设计

课程设计说明书 课程名称:数字电子技术 设计题目:循环彩灯 院系:电子信息与电气工程学院 学生姓名: 学号: 专业班级: 指导教师: 2011年5月25日

课程设计任务书

循环彩灯 摘要:本次循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。 关键词:循环彩灯555定时器74LS19274LS138

目录 1.设计背景 (4) 1.1了解数字电路系统的定义及组成 (4) 1.2掌握时钟电路的作用及基本构成 (4) 2.设计方案 (4) 2.1总体设计路 (4) 2.2电路框图 (4) 3.方案实施 (5) 3.1 555定时电路产生始终脉冲 (5) 3.2 74LS38与74LS92功能 (6) 3.3 电路仿真 (8) 3.4 PCB板制作 (9) 4.结果与结论 (10) 4.1电路调试 (10) 4.2 理论值与实际值 (11) 5.收获与致谢 (11) 6.参考文献 (13) 7.附件 (13)

附录1 (13) 附录 2 (13) 1. 设计背景 1.1了解数字电路系统的定义及组成 数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。输入电路主要作用是将被控信号转换成数字信号,其形式包括各种输入接口电路。比如数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理的数字信号。模拟信号则需要通过模数转换电路转换成数字信号再进行处理。在设计输入电路时,必须首先了解输入信号的性质,接口的条件,以设计合适的输入接口电路。 1.2掌握时钟电路的作用及基本构成 时钟电路是数字电路系统中的灵魂,它属于一种控制电路,整个系统都在它的控制下按一定的规律工作。时钟电路包括主时钟振荡电路及经分频后形成各种时钟脉冲的电路。比如多路可编程控制器中的 555 多谐振荡电路,数字频率计中的基准时间形成电路等都属于时钟电路。设计时钟电路,应根据系统的要求首先确定主时钟的频率,并注意与其他控制信号结合产生系统所需的各种时钟脉冲。 2 设计方案 2.1 总体设计思路 循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。

彩灯循环显示电路

计算机科学学院课程设计报告 课程数字逻辑 题目彩灯循环显示电路 年级2010级 专业计算机科学与技术 学号学生 任课教师 2014年 5 月26日

课程设计 题目 彩灯循环显示电路 验收时间验收 地点 9#307 指导 教师 小组 成员 具体分工备注 课题总体设计思想概述 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列......如此周而复始,不断循环。 这次的课程设计主要是用计数器来实现的,这个彩灯循环控制电路的实质就是要产生一系列有规律的数列,然后通过一个七段数码管显示出来。 而这次的内容还包括分电路图的整合,使这个彩灯循环显示器能够按照要求那个依次输出自然序列,奇数序列,偶数序列还有音乐序列。为了实现这个循环输出的功能,在设计的时候还用到了一个寄存器,可以利用它的输出端来控制四个计数器的工作情况,可以让四个计数器依次工作,就可以达到要求的依次循环输出数列。

设计目的 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数序列,音乐符号序列...... 如此周而复始,不断循环。 设计原理基本组成方框图: 这个设计主要靠计数器来实现的,电路的实质就是要产生一系列有规律的数列,然后通过一个七段数码管显示出来。运用计数器的不同的功能和不同的接发就可以实现不同的序列输出了。 通过电路图的整合,使这个彩灯循环显示器能够按照要求那个依次输出自然 序列,奇数序列,偶数序列还有音乐序列。为了实现这个循环输出的功能,在设 计的时候还用到了一个以为寄存器,可以利用它的输出端来控制四个计数器的工 作情况,可以让四个计数器依次工作,就可以达到要求的依次循环输出数列。

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

彩灯循环电路设计说明

目录 摘要 (Ⅰ) 1 理论知识准备 (1) 2 方案论证 (2) 2.1 备选方案 (2) 2.2 方案选择 (5) 3 电路设计 (7) 3.1 选择器件 (7) 3.1.1 555定时器 (7) 3.1.2 74LS194移位寄存器 (8) 3.2 功能模块 (10) 3.2.1 时钟脉冲产生电路 (10) 3.2.2 彩灯维持电路 (12) 3.2.3 显示电路 (14) 4 电路调试 (15) 4.1 总体电路仿真 (15) 4.2 电路布线 (16) 4.3 电路调试结果 (17) 心得体会 (18) 参考文献 (19)

1 理论知识准备 本次做的彩灯循环控制其实也可以看成是不是用单片机而实现的流水灯电路,流水灯是一串按一定的规律像流水一样连续闪亮。流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。 循环彩灯控制可用多种方法实现,但对现代可编程控制器而言,利用移位寄存器实现最为便利。通常用左移寄存器实现灯的单方向移动;用双向移位寄存器实现灯的双向移动。控制程序中,关键在于数据移位方向的控制。单方向控制的流水灯,使用左移寄存器可容易地实现。如果流水灯的点亮顺序是双向的,则使用双向移位寄存器进行控制。 由于本次设计只是设计了单向的彩灯循环电路,所以彩灯控制电路由三个模块构成,显示电路﹑秒脉冲电路和维持电路。秒脉冲电路全程为电路提供矩形波信号使彩灯定时发亮;显示电路为维持电路提供电源:维持电路在显示电路部分提供电源的情况下为电路提供一段较长的高电平,使彩灯在全部变亮后保持一段时间。同时结合显示电路部分所带元件(主要是74LS194)的性质,使彩灯从右到左依次由暗变亮,亮后维持一段时间,然后熄灭,并且不断重复。 由于本次设计并不是很复杂,所以本设计只采用数字集成电路的555定时器和移位寄存器,产生相应的控制信号,从而控制彩灯的闪烁。数据选择器的输出端接移位寄存器的输入端,在时钟脉冲的作用下,数据在移位寄存器的八位并行输出端从Q0到Q7顺序移动。移动的八位控制信号直接控制发光二极管的亮灭,就出现了八路自动循环切换的流水彩灯。 该设计可以用做广告牌边框灯光设计,如果用条形光柱代替彩灯,还可以作为广告牌的背景闪烁灯光使用,增强广告的感染力。

彩灯循环控制电路

课程设计(论文) 题目名称________________ 彩灯控制器_______________ 课程名称______________ 电子技术课程设计____________ 学生姓名__________________ 刘标___________________ 学号 ____________ 0941201062 ____________ 系、专业___________ 电气工程系09电力一^班_______ 指导教师__________________ 陈邈___________________ 2011年12月9日

邵阳学院课程设计(论文)任务书 2?此表1式3份,学生、指导教师、教研室各1份。

四、参考资料和现有基础条件(包括实验室、主要仪器设备等) 主编张克农?数字电子技术?高教出版社出版?第一版.2006年 彭介华主编?电子技术课程设计指导》?高教出版社出版?第一版.2002年 曾建唐主编?《电工电子基础实践教程》?机械工业出版社.2002年 康光华主编.《电子技术基础》.高教出版社出版.第四版.1999年 电子电工实验室可以提供的主要仪器设备: 示波器型号规格VP-5220、电子学习机型号规格WL-V万用表MF10;以及分立元件、或中规模集成芯片。 五、进度安排 2011年11月28日-29日:收集和课程设计有关的资料,熟悉课题任务何要求; 2011年11月30日-12月1日:总体方案设计,方案比较,选定方案的论证及电路的工作原理; 2011年12月2日-7日:单元电路设计,参数计算,元器件选择,电路图; 2011年12月8日;整理书写设计说明书 2011年12月9日:答辩 六、教研室审批意见 教研室主任(签字):年月日 七卜主管教学主任意见 主管主任(签字):年月日 八、备注 指导教师(签字):学生(签字):刘标

数电课设——彩灯循环显示控制电路设计

课程设计 题目彩灯循环显示控制电路设计学院 专业 班级 姓名 指导教师 2009 年7 月 2 日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 彩灯循环显示控制电路设计 初始条件:Multisim仿真软件; 芯片:74HC390,74HC160,74HC113等。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、设计出完整的彩灯循环显示控制电路设计电路设计; 2、对电路原理各部分进行准确的分析; 3、写出电路的工作过程; 4、对设计电路进行准确的仿真; 5、能够分析出理论与实际的误差原因; 6、本课设的技术要求较简单,能了解原理及分析仿真结果即可。 时间安排: 19周理论讲解及任务安排; 20周方案设计、仿真及制作; 20周答辩。 指导教师签名: 2009 年 7 月 2 日 系主任(或责任教师)签名:年月日

目录 摘要.............................................................. I Abstract........................................................... I I 1、Multisim软件简介 (1) 2、设计目的、任务及要求 (3) 2.1设计目的 (3) 2.2设计任务 (3) 2.3设计要求 (3) 3、设计方案比较 (4) 3.1数列的循环方案比较 (4) 3.2数字显示的部分 (5) 3.3方案选择 (6) 3.4方案的确定 (6) 4、电路设计 (7) 4.1芯片介绍 (7) 4.2原理设计 (9) 4.2.1数列循环电路设计 (9) 4.2.2序列显示电路设计 (10) 4.2.3二分频电路的设计 (12) 4.2.4脉冲产生电路的设计 (12) 5、总原理图设计 (14) 6、电路仿真 (16) 6.1二分频仿真 (16) 6.2脉冲电路仿真 (17) 6.3整体仿真 (18) 7、结语 (19) 参考文献 (20) 附录 (21)

循环彩灯电路设计报告

《数字电子技术基础》 课程设计报告 设计题目:彩灯循环控制器的设计 专业: 班级: 姓名: 学号: 指导教师: 设计日期: 2014 年 6 月

课程设计评审意见 (1)设计阶段(30分)——硬件电路运行情况 优()、良()、中()、一般()、差(); (2)报告(60分)——对于课程设计报告撰写的整体评价 优()、良()、中()、一般()、差(); (3)平时表现(10分)——课程设计过程中的表现 优()、良()、中()、一般()、差(); 总评分数: 优()能很好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行全面、系统的总结,并能运用学过的数字电子技术理论知识对某些问题加以分析。态度端正,课程设计期间无违纪行为。 良()能较好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行比较全面、系统的总结。考核时能较圆满地回答老师提出的问题,态度端正,课程设计期间无违纪行为。 中()能够独立完成课程设计的任务,制作的电路板达到规定的主要要求,课程设计报告能对设计内容进行比较全面的总结,在考核时能正确地回答主要问题,态度端正,课程设计时无违纪行为。 一般()课程设计过程中态度基本端正,能够完成课程设计的任务,提交电路板,能够完成报告,内容基本正确;但不够完整、系统,考核中能回答主要问题。 差()课程设计过程中表现不佳,未能完成课程设计要求的内容。 评阅人: 2014年6月25日注: 优(90-100分)、良(80-89分)、中(70-79分)、一般(60-69分)、差(60分以下)

目录 引言.................................................................. ....... 第一部分:设计方案设计........................................................ 1.1方案选 择:............................................................ 1.2功能设计及分 析....................................................... 1.2.1 时钟信号功能设 计............................................... 1.2.2 花型控制功能设 计............................................... 1.2.3 花型演示功能设计 (3) 1.3 原理图总图及说 明 (4) 第二部分:硬件调试总 结 (5) 2.1 元器件清单及说 明 (5) 2.2 硬件调 试 (9) 第三部分:总 结 (10) 3.1 设计小 结 (10) 3.2 心得体 会 (11) 参考文

相关主题
文本预览
相关文档 最新文档