当前位置:文档之家› 数字电子技术实验教案

数字电子技术实验教案

数字电子技术实验教案
数字电子技术实验教案

湖南工学院教案用纸p.1 实验1 基本门电路逻辑功能测试(验证性实验)

一、实验目的

1.熟悉基本门电路图形符号与功能;

2.掌握门电路的使用与功能测试方法;

3.熟悉实验室数字电路实验设备的结构、功能与使用。

二、实验设备与器材

双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00,74LS20,74LS86,导线

三、实验电路与说明

门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成度高,抗干扰能力强。

1. 74LS00—四2输入与非门功能与引脚:

2. 74LS20—双4输入与非门功能与引脚:

3. 74LS86—四2输入异或门功能与引脚:

四、实验内容与步骤

1. 74LS00功能测试:

①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔动开关进行测试,结果记入自拟表格。

2. 74LS20功能测试:

实验过程与74LS00功能测试类似。

3. 74LS86功能测试:

实验过程与74LS00功能测试类似。

4. 用74LS00构成半加器并测试其功能:

①根据半加器功能:S A B

=,用74LS00设计一个半加器电路;

=⊕,C AB

②根据所设计电路进行实验接线;

③电路输入接逻辑电平开关,输出接LED显示器;

④通电源测试半加器功能,结果记入自拟表格。

5. 用74LS86和74LS00构成半加器并测试其功能:

实验过程与以上半加器功能测试类似。

五、实验报告要求

1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。

2.在报告中回答以下思考题:

①如何判断逻辑门电路功能是否正常?

②如何处理与非门的多余输入端?

湖南工学院教案用纸p.2

实验2 组合逻辑电路的设计与调试(设计性综合实验)

一、实验目的

1.熟悉编码器、译码器、数据选择器等MSI的功能与使用;

2.进一步掌握组合电路的设计与测试方法;

3.学会用MSI实现简单逻辑函数。

二、实验设备与器材

双列直插集成电路插座,逻辑电平开关,LED数码显示器,74LS148,74LS151,74LS48,74LS138,74LS283,74LS04,多用表,导线

三、实验内容与设计要求

1.按教材P.180 Fig.6.6 电路接线,验证8-3优先编码器74LS148和显示译码器74LS48的逻辑功能,记录实验数据,表格自拟;

2.用数据选择器74LS151(或者74LS138+74LS04)设计一个红、黄、绿三色信号灯状态监测逻辑电路,并对所设计电路的功能进行测试。要求:任何时刻信号灯只能亮红、黄、绿三种颜色中的任意一种颜色灯;其它状态都属于故障状态。

3.用一片四位加法器74LS283实现8421BCD码到余3码的转换,并测试电路功能。

四、设计方法与设计提示

1. 组合电路设计的一般步骤:参见教材P.180 Fig.6.5

①根据电路功能描述,分析因果关系,确定输入、输出变量,并对之进行逻辑赋值;

②应用穷举法列出真值表,并写出逻辑表达式;

③根据具体电路要求及特定器件资源,选择确定器件;

④利用公式或卡诺图化简函数,并将之转换成与所选用器件功能相适应的形式;

⑤画出所设计的逻辑电路图,并进行后续的工艺设计与组装调试。

2. 典型组合电路MSI 功能与引脚:

①8-3优先编码器74LS148;②显示译码器74LS48;③8选1数据选择器74LS151;④3-8译码器74LS138;⑤四位加法器74LS283。 3. 设计提示:

①数据选择器实现逻辑函数:

如:用8选1数据选择器74LS151实现函数(A,B,C)(0,2,5,6,7)f m =∑。因74LS151输出

7

0Y D i i i m ==∑,0022556677(A,B,C)D D D D D f m m m m m =++++,故74LS151的接线方法为:

012A C,A B,A A →→→,02567D D D D D 1=====,134D D D 0===。

②3-8译码器74LS138实现逻辑函数与数据分配:

74LS138的输出Y i i m =,其中i m 是由地址码210A A A 组成的最小项。由于任意函数总可以写成最小项之和形式即Y i i m =∑,因而如果将函数变量作为译码器的地址码或译码控制信号,则根据反演定理并结合与非门即可完成逻辑函数的译码器实现。译码器实现逻辑函数与数据分配的具体方法参见教材P.183 Fig.6.10。 ③8421BCD 码到余3码的转换:

余3码=8421BCD+0011,故用加法器可容易实现8421BCD 码到余3码的转换。 五、预习要求及实验注意事项 1.预习要求:

①查阅并熟悉相关MSI 的引脚及功能;

②按设计要求设计好实验所用电路,画出实验电路图。

湖南工学院教案用纸 p.3

2.实验注意事项:

①接插芯片时,注意认清定位标志;

②实验前注意确定MSI芯片功能正常;

③不允许MSI芯片输出端直接接地或电源,须在断电状态进行拆线或电路更改。

六、实验报告要求

1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、问题分析与处理、实验总结与体会等。

2.在报告中回答以下问题:

①组合电路的一般设计步骤?

②组合电路的设计体会?

湖南工学院教案用纸p.4

实验3 集成触发器功能测试(验证性实验)

一、实验目的

1.熟悉集成JK和D触发器的功能与使用;

2.熟悉触发器的功能测试方法。

二、实验设备与器材

双列直插集成电路插座,逻辑电平开关,示波器,74LS112集成JK触发器,74LS74集成D 触发器,多用表,导线

三、实验电路与说明

触发器是时序逻辑电路构成的基本单元,具有两个稳态,并且触发器状态能在外部输入信号作用下进行翻转。触发器种类繁多,按电路结构,可分为同步触发器、主从触发器和边沿触发器,按逻辑功能,可分为RS触发器、JK触发器、D触发器、T触发器等。一般而言,集成触发器除了触发信号输入端外,还拥有直接置零、置1输入端。熟悉并掌握各种触发器特性方程、状态转换、动作特点,是应用触发器的重要基础。

1. 74LS112—集成JK触发器的功能与引脚:

2. 74LS74—集成DK触发器的功能与引脚:

四、实验内容与步骤

1. 74LS112功能测试:

①按教材P.186 表6.11改变S d和R d,观察并记录触发器状态的变化;

②按教材P.186 表6.12,对触发器逻辑功能进行测试;

③使J K1

==(计数状态),在CP端输入100kHz

f=方波,观察并记录Q、Q端工作波形。

2. 74LS74功能测试:

①按教材P.187 表6.13测试并记录74LS74触发器的逻辑功能;

②连接D Q

=(计数状态),在CP端输入100kHz

f=方波,观察并记录Q、Q端工作波形。

五、实验报告要求

1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。

2.在报告中回答以下思考题:

①S d和R d的作用是什么?如何利用它们实现触发器的置零或置1?触发器正常工作时,它

们应处于什么状态?

②当触发器处于计数状态时,Q端状态在CP的什么时刻变化?Q端波形与CP波形在周期上有什么关系?

湖南工学院教案用纸p.5

实验4 移位寄存器(设计性实验)

一、实验目的

1.掌握集成移位寄存器的功能及其测试方法;

2.研究由移位寄存构成的环形计数器和串行累加器工作原理。

二、实验设备与器材

双列直插集成电路插座,逻辑电平开关,集成移位寄存器74LS194,集成D触发器74LS74,全加器74LS183,示波器,多用表,导线

三、实验内容与步骤

1.集成移位寄存器74LS194逻辑功能测试:

实验电路参见教材P.195 Fig. 6.25。CR、1S、0S、L S、R S、A D、B D、C D、D D接逻辑电平开关,A Q、B Q、C Q、D Q接LED显示器,从CP输入单次脉冲,按教材P.196 表6.23逐项测试并记录测试结果:

①清除功能;②送数功能测试;③右移功能测试;④左移功能测试;⑤保持功能测试。

2.循环移位电路设计并测试其循环移位功能:

用74LS194设计一循环右移寄存器,然后测试其在CP脉冲作用下的数据循环右移功能,并记录测试结果。

3.串行累加器设计及其功能测试:

根据教材P.195 Fig. 6.24所示串行累加器结构与工作原理,用74LS194和74LS183设计一四位串行累加器,然后在CP脉冲作用下测试电路的串行累加功能,结果记入自拟表格。

四、实验说明

1. 74LS194引脚及功能:

2.循环移位寄存器结构与工作原理:

3.吕行累加器构成方法与工作原理:

五、实验报告要求

1.报告内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、问题分析与处理、实验总结与体会等。

2.总结74LS194逻辑功能,画出环形计数器状态转换图与波形图;

3.分析串行累加器实验结果的正确性;

4. 在报告中回答以下问题:

①要使移位寄存器清零,可否采用右移或左移功能实现可否采用并行送数法实现?如果可

以,又如何操作?

②如要求循环左移,如何更改循环右移电路的连接?

湖南工学院教案用纸p.6

实验5 集成计数器设计(设计性实验)

一、实验目的

1.掌握用集成计数器构成任意进制计数器的方法;

2.掌握MSI计数器使用与功能测试方法;

3.基于MSI计数器的任意进制计数器设计。

二、实验设备与器材

双列直插集成电路插座,逻辑电平开关,LED发光显示器,集成十进制计数器74LS192,四2输入与非门74LS00,连续脉冲源,单次脉冲源,导线

三、实验内容与设计要求

1. 自拟74LS192逻辑功能测试电路,并进行测试与记录;

2. 采用反馈归零或反馈置数法,用一片74LS192和74LS00构成一个8进制计数器,实验方法与步骤自拟;

3. 采用级联的方法,用两片74LS192和74LS00构成一个24进制计数器,实验方法与步骤自拟。

四、设计提示

1.基于MSI集成N计数器的任意M进制计数器的构成方法:

①M

②M>N:此时需要采用多片集成N计数器通过级联和整体的清零或置数才能构成一个M进制计数器。整体的清零或置数信号在整个计数器的哪个状态产生,类似于M

2. 74LS192引脚功能:双时钟集成十进制同步可逆计数器

0 1 2 3CR CP CP

五、实验报告要求

1.报告内容除实验名称、目的要求外,还必须画出设计电路,拟定实验步骤,并对实验记录数据进行整理,和实验结果分析,表达实验总结与体会等。

2.说明任意进制计数器的构成方法;

3.讨论实验中遇到的问题;

4.在报告中回答:

湖南工学院教案用纸 p.7

①74LS192加计数时,应如何连接其CR、LD、CP?

②如何实现秒、分时钟计数?

湖南工学院教案用纸p.8

实验6 555时基电路及其应用(综合性实验)

一、实验目的

1.熟悉555定时器结构、功能特点与应用;

2.掌握555定时器构成施密特、单稳态触发器、多谐振荡器的方法。

二、实验设备与器材

双列直插集成电路插座,LED发光显示器,示波器,连续脉冲源,单次脉冲源,集成NE555,二极管、电阻、电容、导线若干

三、实验内容

1.用NE555按教材P.206 Fig.6.38(a)构成单稳电路并进行实验接线:

①取R=100kΩ,C=47Fμ,从V i输入单次脉冲,观察V i、V C、V o波形,并进行幅度与暂稳时间测试,记录观察测试结果。

②取R=1kΩ,C=0.1Fμ,重复以上实验步骤。

2. NE555多谐振荡器电路测试:

①按教材P.207 Fig.6.39连接实验电路,观察V C、V o波形,并进行频率测试,记录观察测试结果。

②按教材P.208 Fig.6.40连接实验电路,观察V C、V o波形并调节R w使V o占空比为50%,测试频率并记录观察测试结果。

③按教材P.208 Fig.6.41连接实验电路,调节1

R w和2

R w,观察并记录V o波形。

3. NE555施密特触发器电路测试:

按教材P.209 Fig.6.42连接实验电路,从u s输入1kHz正弦波并调节其幅度,观察并记录V o 波形,测绘电路的电压传输特性曲线并确定其阈值电压与回差电压。

四、实验说明

1.555定时器结构与功能:

2. 555定时器施密特触发器构成与工作原理:

3. 555定时器单稳态触发器构成与工作原理:

4. 555定时器多谐振荡器构成与工作原理:

五、实验报告要求

1.报告内容除实验名称、目的要求外,还必须画出设计电路,拟定实验步骤,并对实验记录数据进行整理,和实验结果分析,表达实验总结与体会等。

2.给出详细实验电路,定量绘制观察波形;

3.讨论实验中遇到的问题;

4.在报告中回答:555多谐振荡器的周期、占空比主要受哪些元件参数影响?如何调节?

湖南工学院教案用纸p.9

实验7 交通灯控制电路设计(虚拟综合性实验)

一、实验目的

1.加深对计数电路的理解;

2.加深对555定时器电路的理解;

3.掌握基本电路在实际工程中的应用。

二、实验电路及说明

1.交通控制要求

①南北和东西向道路轮流通行;

②每向道路由绿灯亮转换为红灯亮之前,黄灯先亮;

③每次黄灯亮一个单位时间,红绿灯亮5个单位时间。

2.实现方案

①电路状态转换图:

根据控制要求,定义:南北向绿灯AG()a,东西向绿灯BG()b,南北向红灯AR()c,东西向红灯BR()d,南北向黄灯AY()e,东西向黄灯BY()f,则系统状态转换图为:

状态参数图的实现可采用以下两种方案。

②实现方案一:算法状态机方案(Algorithmic State Machine)

交通灯控制器ASM图

交通灯系统框图T L:绿灯亮5个单位时间T L=1,否则,T L=0;

T y:黄灯亮1个时间T y=1,否则,T y=0;S T:控制器向定时器发出的状态转换控制信号。S T=1:5个单位定时,S T=0:1个单位定时。

湖南工学院教案用纸p.10

③实现方案二:顺序脉冲控制法

由于系统状态循环不变,故可采用顺序脉冲控制方法进行,即将单位时间按需要进行分配即可控制系统循环变化的状态。

顺序脉冲的产生可采用扭环形计数器来实现。具体方法参见教材P.226~227内容。 1c Q =,1d Q =(c ,d 各延续6个时间单位,不可同时点亮);

16a Q Q =,16b Q Q =(a ,b 各延续5个时间单位,且要求a 与d 、b 与c 须同时点亮); 16e Q Q =,16f Q Q =(e ,f 各延续1个时间单位,且要求e 与d 、f 与c 须同时点亮)。

显然,方案二比方案一简洁,故实验电路采用方案二设计。 3.定时电路:

可采用由555构成的多谐振荡器产生矩阵脉冲作为扭环形计数器的计数脉冲,从而实现电路的定时。 三、实验内容与步骤

1.设计电路并画出满足控制要求的EWB 仿真模拟电路;

2. 在EWB 平台上,利用逻辑分析仪记录a 、b 、c 、d 、e 、f 各处波形;

3.调整系统的定时单位,重复以上过程。 四、实验报告要求

1.根据交通灯控制要求,阐述系统设计思路与设计方法,画出EWB 仿真用实验电路;

2.自拟表格记录仿真过程中的有关数据与波形,并进行必要整理、处理和分析;

3.讨论实验中遇到的问题,总结实验收获与体会,提出改进意见。

湖南工学院教案用纸 p.11

实验8 环形流水灯控制电路设计(虚拟设计性实验)

一、实验目的

1.加深对555定时器电路的理解;

2.加深对计数与译码等MSI芯片的掌握;

3.加深对时序电路和组合电路的理解;

4.掌握基本电路在实际工程中的应用。

二、实验电路及说明

1.环形流水灯控制要求

8个LED排列成圆环形,设计一控制电路使之以逆时针方向循环点亮。

2.控制方案

根据控制要求,8个排列成圆环形的LED的循环点亮可采用顺序脉冲来控制,故电路的设计可以采用两种方案:

①定时器(多谐振荡器)+计数器+译码器=顺序脉冲发生器;

②定时器(多谐振荡器)+移位寄存器计数器=顺序脉冲发生器。

三、实验内容与步骤

1. 根据控制要求,预先设计适合于EWB平台构建的实验电路;

2. 在EWB平台上构建所设计的电路,观察并记录流水灯点亮效果;

3. 调整定时电路参数,观察并记录流水灯点亮效果的改变情况;

4. 改进电路,使流水灯以顺时针方向点亮。

四、实验报告要求

1.根据流水灯控制要求,阐述系统设计思路与设计方法,画出EWB仿真用实验电路;

2.自拟表格记录仿真过程中的有关数据与波形,并进行必要整理、处理和分析;

3.讨论实验中遇到的问题,总结实验收获与体会,提出改进意见。

湖南工学院教案用纸p.12

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

数字电子技术实验教案

湖南工学院教案用纸 实验1基本门电路逻辑功能测试(验证性实验) 一、实验目的 1?熟悉基本门电路图形符号与功能; 2?掌握门电路的使用与功能测试方法; 3?熟悉实验室数字电路实验设备的结构、功能与使用。 二、实验设备与器材 双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00, 74LS20 , 74LS86,导 线 三、实验电路与说明 门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单 元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成 度高,抗干扰能力强。 1.74LS00 —四2输入与非门功能与引脚: 2. 74LS20 —双4输入与非门功能与引脚: 3. 74LS86 —四2输入异或门功能与引脚: 四、实验内容与步骤 1.74LS00功能测试: ①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔

动开关进行测试,结果记入自拟表格。 湖南工学院教案用纸

2. 74LS20功能测试: 实验过程与74LS00功能测试类似。 3. 74LS86功能测试: 实验过程与74LS00功能测试类似。 4. 用74LS00构成半加器并测试其功能: ①根据半加器功能:S A B , C AB,用74LS00设计一个半加器电路; ②根据所设计电路进行实验接线; ③电路输入接逻辑电平开关,输出接LED显示器; ④通电源测试半加器功能,结果记入自拟表格。 5. 用74LS86和74LS00构成半加器并测试其功能: 实验过程与以上半加器功能测试类似。 五、实验报告要求 1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。2?在报告中回答以下思考题: ①如何判断逻辑门电路功能是否正常? ②如何处理与非门的多余输入端? 实验2组合逻辑电路的设计与调试(设计性综合实验) 一、实验目的 1?熟悉编码器、译码器、数据选择器等MSI的功能与使用; 2?进一步掌握组合电路的设计与测试方法; 3?学会用MSI实现简单逻辑函数。 二、实验设备与器材

电子技术实验课教学案例

电子技术实验课教学案例 引言: 模拟电子技术基础是电子技术应用专业的一门核心课程,也是电子信息类专业整个知识和能力体系的重要支柱之一,由于教学内容存在基本概念抽象,知识点分散,分析方法多样,器件和电路类型复杂等问题,加上学生学习基础较差,理解能力较弱,学生学习兴趣不高,教学效率不高,教学效果欠佳。围绕任何处理号教和学的关系,笔者尝试从淡化理论教学,加强实践(试验)教学入手,激发学生学习兴趣,增强教学的互动性,从而提高教学效果。 背景 按照教学计划的安排,第四周应该进行固定偏置式三极管放大电路的静态与动态工作过程的观察这个实验。这个实验的目的主要是结合理论知识,用仪器和仪表去测量电路的静态工作点和对比观察输入输出波形的大小和相位关系,验证理论教学的正确性。授课对象是五年制高职三年级学生,53人,男女生几乎各占一半。由于理论教学任务完成得较好,课前布置了学生预习实验内容,笔者估计这次实验应该能够顺利完成。 问题 可是,等到学生实验正式开始后,很多意想不到的事情发生了,教师的教学组织受到了很大的干扰,致使实验教学受到了很大的影响。 教学课程 教师:今天,我们在实验室上课,同学们分组做实验,研究固定偏置式三极管放大电路的静态与动态工作过程,课前布置了大家预习,相信大家对实验的过程,所有仪器,仪表的使用和操作已经有了比较全面的认识,我在教师台再把一些关键词强调一下。 学生:部分学生点头表示明白,小部分学生低头在摆弄实验教材。 教师:在实验台上接搭号电路,提醒大家注意:本次实验原理难度并不大,但测量项目较多,仪器仪表的接线也比较复杂,请务必注意测量放大电路的静态工作点时,万用表测量项目和量程的装换,红黑表笔极性要注意,不然很容易造成万用表损坏,影响实验的正常进行,信号发生器,示波器首先按照要求调试号,接线关系要弄清楚,正确接入电路,不然看不到波形,实验无法成功,也就无法对理论教学进行验证了。 学生:有的在思考老师讲的话,有的在小声议论,有的用万用表测量自身电阻大小。

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术基础教案

数字电子技术基础教案 太原工业学院 第1章逻辑代数基础

目的与要求: 熟练掌握基本逻辑运算和几种常用复合导出逻辑运算;熟练运用真值表、逻辑式、逻辑图来表示逻辑函数。 重点与难点: 重点:三种基本逻辑运算和几种导出逻辑运算;真值表、逻辑式、逻辑图之间的相互转换。难点:将真值表转换为逻辑式。 所谓数字电路,就是用0和1数字编码来表示和传输信息的系统,即信息数字化(时代)。 数字电路与传统的模拟电路比较,其突出的优点是:(如数字通 信系统)抗干扰能力强、保密性好、计算机自动控制、(数字测量 仪表)精度高、智能化、(集成电路)可靠性高、体积小等。 数字电子技术基础,是电子信息类各专业的主要技术基础课。 1、1概述 一、模拟量(时间、温度、压力、速度、流量):时间上和幅值上 连续变化的物理量; 模拟信号(正弦交流信号):表示模拟量的信号。 数字量:时间上和幅值上都不连续变化的物理量(工厂中生产的产品个数); 数字信号、数字电路。 数字电路中的数字信号 采用0、1两种数值(便于实现)(位bit 、拍) 0、1表示方法:电位型:电位高低(不归零型数字信号) 脉冲型:有无脉冲(归零型数字信号) 二、数制及其转换 由0、1数值引入二进制及其相关问题。 常用数制:举例:十进制、二进制(双)、七进制(星期)、 十二进制(打)等。 特点:基数:数制中所用数码的个数; 位权。 1. 十进制数 基数:10 位权:n 10 表达式:10)(N =(P2 式1-1)=i n m i i a 101 ?∑--= (1-1) 推广到任意进制R : 基数:R 位权:n R

表达式:R N )(=(P2 式1-2)=i n m i i R a ?∑--=1 (1-2) 2. 二进制数 表达式:2)(N =(P3 式1-3)=i n m i i a 21 ?∑--= (1-3) 位权:以K 为单位;按二进制思维(如1000个苹果问题); 例如:(1101.01)2= 0-16对应的二进制数 特点:信息密度低,引入八、十六进制。 3. 八进制、十六进制 八进制: 基数:8(0-7) 位权:n 8 表达式:8)(N == i n m i i a 81?∑--= ( 1-4) 十六进制: 基数:16(0-9,A ,B ,C ,D ,E ,F ) 位权:n 16 表达式:16)(N ==i n m i i a 161?∑--= 特点:和二进制有简单对应关系;信息密度高,便于书写。 4. 不同进制数的转换 ⑴ R →十:按位权展开,再按十进制运算规则运算。 例1-1、1-2、1-3(P4) ⑵ 十→R :分两步 整数部分:除R 取余,注意结束及结果; 小数部分:乘R 取整,注意精度及结果; 结果合并: ⑶ R=2k 进制之间的转换 二?八:3位?1位, 二?十六:4位?1位, 八?十六:以二进制为过度, 5. 进制的另一种表示方法: B (inary )----二; H(exadecimal)----十六; D(ecimal)----十; O----八 三、二—十进制代码(BCD 代码)

劳技教案(八上项目一:电子技术)

项目一电子技术 第一章电的基础知识 第一节电流、电压和电阻 课时:一课时 教学目标: 1、知道电流形成的原因,掌握电流的单位及单位换算。 2、知道电压的概念,掌握电压的单位及单位换算。 3、知道电阻的影响因素,和单位及单位换算。 教学过程: 一、电流 1、物质是由分子组成的,而分子又是由原子组成的,那么原子是怎么构成的呢?原子是由原子核和核外电子构成的,每个原子都有一个原子核和若干电子。每个电子都带一个单位负电荷,原子核带正电,通常情况下原子核所带正电荷数与它核外电子所带负电荷数是相同的,这时正负相抵物体不显电性。如果大量电子在某种力的作用下都朝着相同方向流动起来,就形成了电流。电流不仅大小不同,方向也不同。人们规定:把电子流动相反的方向规定为电流的方向。 2、单位:安培A,还有毫安mA,和微安uA。1A=1000mA,1mA=1000uA 二、电压 1、为什么能形成水流呢?因为有水压存在,没有压力水就不会流动。

怎么才能让大量电子定向移动起来呢?人们引入了一个电压的概念。没有电压就没有电流。 2、电压的单位:,V 1V=1000mA,1mV=1000uV 三、电阻 1、电流在导体中流动也会受到阻力,人们把这种阻力称为电阻。不同材料的电阻的电阻率不同,相同材质的导体,横截面积越大电阻越小,长度越大,电阻越大。 2、单位:欧姆,符号Ω1KΩ=1000Ω,1MΩ= 1000KΩ

第二节直流电和交流电 课时:1课时 教学目标: 1、了解直流电和交流电 2、了解万用表的结构 3、知道万用表的使用注意事项 4、会用万用表测量电压、电流和电阻 教学过程: 一、直流电和交流电 1、直流电:人们把方向、大小都不随时间变化的电流(电压)叫做直流电。 2、交流电:人们把方向和大小都随时间变化的电流(电压)叫做交流电。 二、万用表的构造 万用表面板上主要有表头和选择开关,还有欧姆档调零旋钮和表笔插孔,下面介绍各部分的作用: 1、表头为灵敏电流计表头上的表盘印有多种符号、刻度线和数值。标有“Ω”的是电阻档的刻度线,刻度分布不均匀。符号“-”或“DC”表示直流,标有“~”或“AC”表示交流。 2、选择开关万用表的选择开关是一个多档位的旋转开关,用来选择测量项目和量程。一般的万用表的测量项目包括直流:mA,直流电压:V和电阻:Ω。每个测量项目又划分为几个不同的量程以供选

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

电力电子技术实验(课程教案)

课程教案 课程名称:电力电子技术实验 任课教师:张振飞 所属院部:电气与信息工程学院 教学班级:电气1501-1504班、自动化1501-1504自动化卓越1501 教学时间:2017-2018学年第一学期 湖南工学院

课程基本信息

1 P 实验一、SCR、GTO、MOSFET、GTR、IGBT特性实验 一、本次课主要内容 1、晶闸管(SCR)特性实验。 2、可关断晶闸管(GTO)特性实验(选做)。 3、功率场效应管(MOSFET)特性实验。 4、大功率晶体管(GTR)特性实验(选做)。 5、绝缘双极性晶体管(IGBT)特性实验。 二、教学目的与要求 1、掌握各种电力电子器件的工作特性测试方法。 2、掌握各器件对触发信号的要求。 三、教学重点难点 1、重点是掌握各种电力电子器件的工作特性测试方法。 2、难点是各器件对触发信号的要求。 四、教学方法和手段 课堂讲授、提问、讨论、演示、实际操作等。 五、作业与习题布置 撰写实验报告

2 P 一、实验目的 1、掌握各种电力电子器件的工作特性。 2、掌握各器件对触发信号的要求。 二、实验所需挂件及附件 三、实验线路及原理 将电力电子器件(包括SCR、GTO、MOSFET、GTR、IGBT五种)和负载 电阻R串联后接至直流电源的两端,由DJK06上的给定为新器件提供触 发电压信号,给定电压从零开始调节,直至器件触发导通,从而可测得 在上述过程中器件的V/A特性;图中的电阻R用DJK09 上的可调电阻负 载,将两个90Ω的电阻接成串联形式,最大可通过电流为1.3A;直流电 压和电流表可从DJK01电源控制屏上获得,五种电力电子器件均在DJK07 挂箱上;直流电源从电源控制屏的输出接DJK09上的单相调压器,然后 调压器输出接DJK09上整流及滤波电路,从而得到一个输出可以由调压 器调节的直流电压源。 实验线路的具体接线如下图所示:

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术教案word版

第一章数字逻辑概论 一、实施时间:第 1-2 周二、实施对象:电信、应物、电气 三、编写时间:1.5 四、课时数:6学时 五.目的要求: (一)教学目的与要求: 1、掌握常见的数制(如:十进制、二进制、八进制、十六进制)及其之间的相互转换; 2、掌握常见的代码(如:8421码、余三码、循环码)以及数制与代码之间的相互转换。 3、掌握二值逻辑变量与基本逻辑运算和逻辑函数及其表示方法(如:真值表、逻辑函数表达式、卡诺图、逻辑电路图、波形图)及其之间的相互转换。 4、掌握基本逻辑运算与、或、非。 5、掌握二进制数(包括正、负二进制数)的表示和补码、反码的运算。 六、主要内容: 1、常见的代码(如:8421码、余三码、循环码)以及数制与代码之间的相互转换。 2、掌握二值逻辑变量与基本逻辑运算和逻辑函数及其表示方法及其之间的相互转换。 3、掌握二进制数(包括正、负二进制数)的表示和补码、反码的运算。 七、本章重点和难点: 1、重点:(1)常见的代码(如:8421码、余三码、循环码、余三循环码)。 (2)数制与代码之间的相互转换,二值逻辑变量与基本逻辑运算和逻辑函数及其表示方法。 2、难点:二进制数(包括正、负二进制数)的表示法和补码的运算。 第一节数制与编码 一、实施时间:第 1 周二、实施对象:电信、应物、电气 三、编写时间:1.5 四、课时数:4学时 五.目的要求: 1、掌握常见的数制(如:十进制、二进制、八进制、十六进制)及其之间的相互转换; 2、掌握常见的代码(如:8421码、余三码、循环码)以及数制与代码之间的相互转换。 六、主要内容: 1、十进制、二进制、八进制、十六进制及其之间的相互转换; 2、二进制正负数的表示及运算。 3、8421码、余三码、循环码以及数制与代码之间的相互转换。 七、教学重点和难点: 8421码、余三码、循环码以及数制与代码之间的相互转换。

电子技术基础与技能电子教案(综合)

《电子技术基础与技能》电子教案 项目一二极管单向导电板的制作 教案编号:01—01—01 一、教学目标 1、了解什么是半导体、P型半导体和N型半导体; 2、了解PN结的形成过程及其特性; 3、掌握二极管的符号、特性及特性曲线等; 4、会用万用表判断二极管的质量。 二、重点难点 重点:二极管的符号及单向导电特性。 难点:PN结的形成过程 三、学情分析 有关半导体、二极管等概念,学生第一次接触到,而且这些内容十分抽象难理解,所以学生学起来有一定困难。但学生在初中阶段已经接触到了电阻、导体及绝缘体等相关内容,而半导体就是导电能力介于导体和绝缘体之间的物质,因此,教师要如此引入过渡,学生是容易接受的。 四、教学方法 讲解法、观察法、图形演示法 五、教具准备 各种不同形状的二极管、幻灯片及幻灯机、实物投影仪等 六、课时安排:2课时 七、教学过程 1、导入新课: 大家在初中学习了电阻,电阻就是导体对电流的阻碍作用。而导体就是能够导电的物质,如铁、铝、铜等金属;不能导电的物质就是绝缘体,如干木头、黑板等。那么世界上有没有导电能力介于导体和绝缘体之间的物质呢?这就是今天我们要学习的内容——半导体 2、新授阶段

(1)出示投影(课本图1-1 二极管单向导电电路图) 让生认识电路图,了解图中的各元器件。并强调指出其中的二极管是电路中的关键元件,今天我们就来重点学习这种元件。 (2)先了解半导体、P型半导体和N型半导体以及PN结等。 1)半导体:由自然界的物质按导电性能的分类引出半导体。半导体的最外层有4个价电子。如硅和锗等。半导体有光敏性、热敏性和掺杂性三种特性,特别是其掺杂性是形成半导体元件的重要基础。 2)P型半导体和N型半导体 先介绍本征半导体,然后根据在本征半导体中掺入不同的杂质离子可形成两种半导体,即N型半导体和P型半导体。(可结合投影出示本征半导体的原子排列图以及和掺入两种不同杂质时形成两种半导体的形成过程图)。 3)PN结:出示投影(课本图1-2 PN的结构示意图),简单从电子转移的角度介绍PN结的形成过程。 给生时间理解并自己动手画图记忆 (3)二极管 1)出示投影(课本图1-3 二极管的结构示意图及其符号) 讲解二极管的定义、结构及其符号等 给生时间理解并自己动手画图记忆 2)实物投影展示各种不同形状的二极管外形,之后拿出实物让生观察,增强学生的感性意识。 3)二极管的特性曲线 出示投影(课本图1-5 二极管的伏安特性曲线) 讲解二极管特性曲线的定义、二极管的正向电压和反向电压等概念。 讲述二极管特性曲线的形成规律及其特点。要让学生记住死区电压:对于硅管是0.5V,锗管是0.2V;导通电压:对于硅管是0.7V;对于锗管是0.3V。 给生时间理解并自己动手画图记忆 4)二极管的种类及参数:师简单介绍

模拟电子技术实验 教案

模拟电子技术实验教案 ·平顶山学院教案 20XX ~~ 20XX 学年第 1 学期 承担系部电气信息工程学院课程名称模拟电子技术实验授课对象 11电气、电子、测控,10物理授课教师张晓朋职称讲师教材版本电工电子实验与计算机仿真教程参考书 20XX年 9 月 3 日 平顶山学院模拟电子技术实验教案 模拟电子技术基础实验 实验一常用电子仪器的使用练习 [实验目的] 1、了解示波器、低频信号发生器、视频毫伏表及直流稳压电源的工作原理。 2、掌握常用电子仪器的使用方法。[实验仪器] 1、函数信号发生器; 2、双踪示波器; 3、交流毫伏表; [实验原理] 多种实验仪器之间按如图1-1所示。交流毫伏表直流稳压电源+ -屏蔽线U cc函数信号发生器屏蔽线被测电路 uiu0示波器屏蔽线图1-1 1、函数信号发生器

函数信号发生器按需要输出正弦波、方波、脉冲波三种信号波形。输出电压最大可达10VP-P。函数信号发生器的输出信号频率可以通过频率分档开关进行调节。 函数信号发生器作为信号源,它的输出端不允许短路。 2、示波器的使用 (1)用示波器测量正弦波的有效值 正弦波形在示波器屏幕上的显示方式如图1-2所示。如果荧光屏上信号波形的峰-峰值为Ddiv,Y轴灵敏度为/div,则所测电压的峰-峰值为: VP-P=/div×Ddiv 式中/div是示波器无衰减时Y轴的灵敏度,即每格20mV;D为被测信号在Y轴方向上峰-峰之间的距离,单位为格(div)。 (2)用示波器测量时间 时间测量时在X轴上读数,量程X轴的扫描速度开关“t/div”决定。 1 平顶山学院模拟电子技术实验教案 测量前对示波器进行扫描速度校准,测量时间过程中使该“微调”始终处于“校准”位置上。测量信号波形任意两点间的时间间隔。 B

数字电子技术基础试题与答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F

2.证明逻辑函数式相等:()() ++++=+ BC D D B C AD B B D 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式:

(2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1K Ω,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f 和占空比q。 图1

5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术基础实验指导书1

数字电子技术基础实验指导书

实验一、认识实验 一、实验目的:1、熟悉面包板的结构 2、进一步掌握与非门、或非门、异或门的功能 3、初步尝试在面包板上连接逻辑电路 二、实验用仪器:面包板一块 74LS00一块 74LS20一块 74LS02(四二输入或非门)一块、 74LS86(四二输入异或门)一块 万用表一块 导线若干 稳压电源一台 三、面包板和4LS00、74LS20、74LS02、74LS86的介绍: 1 面包板上的小孔每5个为一组,其内部有导线相连。横排小孔是4、3、4(3、4、3)的结构,即每5*4(5*3)、5*3(5*4)、5*4(5*3)组横排小孔内部有导线相连。用到的双列直插式集成块跨接在凹槽两边,管脚插入小孔。通常用面包板的上横排小孔接电源,用下横排小孔接地。 2、74LS00的内部结构示意图: 74LS00的管脚排列如上图所示,为双列直插式14管脚集成块,是四集成二输入与非门。 74LS20是二四输入与非门。 VCC 3A 3B 3Y 4A 4B 4Y VCC 2A 2B NC 2C 2D 4Y 1A 1B 1Y 2A 2B 2Y GND 1A 1B NC 1C 1D 1Y GND 74LS00 74LS20

1Y 1A 1B 2Y 2A 2B GND 1A 1B 1Y 2A 2B 2Y GND 四、实验内容与步骤: 1、测试面包板的内部结构情况: 用两根导线插入小孔,用万用表的电阻挡分别测试小孔组与组之间的导通情况,并记录下来。 2、验证与非门的逻辑功能: 1)将4LS00插入面包板,并接通电源和地。 2)选择其中的一个与非门,进行功能验证。 3)、将验证结果填入表1: 表1 其中,A 、B 1”时,输入端接电源;Y 是输出端,用万用表(或发光二极管)测得在不同输入取值组合情况下的输出,并将结果填入表中。 5)分析测得的结果是否符合“与非”的关系。 *3、以同样的方法验证四输入“与非门”、“或非”门、“异或”门的功能。 4、用TTL 与非门实现“或”逻辑Y=A+B 1)将Y=A+B 变成与非表达式 2)利用“与非”门实现逻辑电路,并验证逻辑功能是否正确,将验证结果填入表2。 表2 3注意:带*号的为选做内容。 五、实验总结报告的写法: 实验题目: 一、实验目的: 二、实验用仪器: 三、实验内容及步骤:将实验中出现的问题、现象、 及结果随时记录下来。 四、实验结果分析:将实验中出现的现象、结果进 行分析。 五:实验总结:总结这次实验的得失成败。 *布线规则:横平竖直拐直角,不交叉,不架空。 *TTL 与非门使用注意事项:1、电源电压+5V 。

相关主题
文本预览
相关文档 最新文档