数字电路课程设计PPT课件

  • 格式:ppt
  • 大小:2.29 MB
  • 文档页数:70

下载文档原格式

  / 70
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
EDA技术与应用 江国强 电子工业出版社, 2010
EDA技术与VHDL设计 徐志军等,电子 工业出版社,2009
3
1 概述-参考资料
VHDL与数字电路设计.卢毅赖杰.科学出 版社,2001
EDA技术实验与课程设计.曹昕燕.清华大 学出版社,2006
EDA技术综合应用实例与分析.谭会生.西 安电子科技大学出版社,2004
最高集成度已达到400万门
向低电压和低功耗方向发展
5V3.3V2.5V1.8V更低
内嵌多种功能模块
MacroFuction、Megafunction IP Core: RAM,ROM,FIFO,DSP,CPU SOPC
向数、模混合可编程方向发展
12
2 可编程逻辑器件-主要制造商
www.altera.com
X
状态方程
Z
组合电路
Y
Q
时序电路
CLK
8
1 概述-数字电路设计的基本方法
布尔函数-数字系统数学基础(卡诺图) 数字电路设计的基本方法
组合电路设计
问题逻辑关系真值表化简逻辑图
时序电路设计
列出原始状态转移图和表状态优化状态分配 触发器选型求解方程式逻辑图
使用中、小规模器件设计电路
9
2 可编程逻辑器件-基本概念
eqcomp4.vhd

--eqcomp4 is a four bit equality comparator Library IEEE;

use IEEE.std_logic_1164.all;
实体
entity eqcomp4 is port (a, b:in std_logic_vector(3 downto 0);
验证方式
计算机语言主要关注于变量值的变化
VHDL要实现严格的时序逻辑关系
17
3 VHDL的基本语法-与计算机语言的区别
C、ASM… 程序
软件程序编译器 COMPILER
((aA))软件语言设计目标流程
CPU指令/数据代码: 010010 100010 1100
VHDL/VERILOG 程序
硬件描述语言综合器 SCYONMTPHEISLIEZRER
VHDL Verilog HDL System C System Verilog
16
3 VHDL的基本语法-与计算机语言的区别
运行的基础
计算机语言是在CPU+RAM构建的平台上运行
VHDL设计的结果是由具体的逻辑、触发器组成 的数字电路
执行方式
计算机语言基本上以串行的方式执行
VHDL在总体上是以并行方式工作
4
1 概述-教学目的
以《数字电子技术》为基础,学习数字 电路系统的基本设计方法
以可编程逻辑器件为基础,掌握硬件描 述语言VHDL设计数字电路系统的新方 法
面向工程应用,理论结合实践,提高电 路系统的设计水平
5
1 概述-课程内容
了解大规模可编程逻辑器件的结构及工作原 理(基础)
熟悉EDA软件的使用方法(工具)
((bB))硬件语言设计目标流程
DQ
JQ K
为ASIC设计提供的电路网表文件
18
3 VHDL的基本语法-基本结构
VHDL程序的基本结构
Library

Entity
实体
Architecture
结构体
Package
程序包
Configuration
配置
19
3 VHDL的基本语法-基本结构
按结构特点
与或阵列结构-PROM,EEPROM,PAL,GAL,CPLD 门阵列结构 -FPGA
按编程工艺
Fuse/Anti-Fuse
EEPROM-大多数CPLD
SRAM -大多数FPGA
14
2 可编程逻辑器件-CPLD与FPGA的区别
内部结构 程序存储 资源类型 集成度 使用场合 速度 其他资源 保密性
MAX +PlusII Quartus II
掌握一种硬件描述语言(方法)
VHDL Verilog
6
1 概述-考核
考核的形式
日常成绩+设计成绩+报告+考试
报告的要求
见实验中心网站的模板
http://eelab.dlut.edu.cn/
7
1 概述-数字电路系统的基本构成
输出方程
激励方程
PLD
Programmable Logic Device 可编程逻辑器件
CPLD/FPGA
Complex Programmable Logic Device 复杂可编程逻辑器件
Field Programmable Gate Array 现场可编程门阵列
10
2 可编程逻辑器件-PLD的优点
equal :out std_logic); end eqcomp4;
结构体
architecture dataflow of eqcomp4 is begin
集成度不断提高
可减小电路的面积,降低功耗,提高可靠性
具有先进的开发工具
提供语言、图形等设计方法,十分灵活 通过仿真工具来验证设计的正确性
可反复地编程,方便设计的修改和升级 可灵活地定义管脚功能,减轻设计工作
量,缩短系统开发时间 保密性好
11
2 可编程逻辑器件-PLD的发展趋势
向高集成度、高速度方向进一步发展
最大的PLD供应商之一
www.xilinx.com
FPGA的发明者,最大的PLD供应商之一
www.latticesemi.com
ISP技术的发明者
www.actel.com
提供军品及宇航级产品
13
2 可编程逻辑器件-分类
按集成度高低
PROM、EPROM、EEPROM、PAL、PLA、GAL CPLD、FPGA
数字电路课程设计 2011秋季
大连理工大学电信学部 赵权科 2011.09
1
1 概述-教学安排
学时:24 教材:见参考资料 上课教室:综-220 上课时间:第3周-第16周
选课
2
1 概述-参考资料
EDA技术实用教程 潘松黄继业.科学出版 社,2006
FPGA与SOPC设计教程-DE2实践 张志刚 西安电子科技大学出版社,2007
CPLD Product-term 内部EEPROM 组合电路资源丰富Baidu Nhomakorabea
低 完成控制逻辑
慢 - 可加密
FPGA Look-up Table SRAM,外挂EEPROM 触发器资源丰富
高 能完成比较复杂的算法
快 EAB,锁相环 一般不能保密
15
3 VHDL的基本语法
HDL
Hardware Description Language 硬件描述语言