数字电路实验电子秒表设计
- 格式:doc
- 大小:458.00 KB
- 文档页数:4
目录1绪论 (2)1.1课题背景 (2)1.2秒表的发展趋势 (2)1.3本课题研究内容 (3)2研究方案与预期成果 (3)2.1研究方案 (3)2.1.1时钟电路方案 (3)2.1.2显示电路方案 (3)2.1.3系统主控制电路 (4)2.2预期成果 (4)3各芯片引脚图 (4)3.174LS192芯片 (4)3.274LS47芯片 (5)3.3七段数码管显示器 (6)4系统电路图 (8)5仿真软件介绍 (10)5.1EW B软件介绍 (10)5.2EW B32软件主界面窗口 (11)5.3菜单栏 (11)6电路仿真 (14)6.1分析仿真 (14)6.2分析 (14)6.3误差分析 (15)7系统硬件焊接与调试 (15)7.1焊接步骤 (15)7.2元件清单 (15)7.3实物图 (16)7.4硬件电路测试 (18)多功能电子秒表的设计摘要:随着电子技术的发展和应用领域的扩大与深入,电子技术的重要性日益突出。
作为一个学电子信息专业的学生,我们必须不断地了解更多的新产品信息,就更加要求我们对专业知识有更深的理解,更强的实验操作能力。
本设计的多功能电子秒表系统以74ls192芯片为中心器件,利用其既能正计时又能倒计时的原理,结合74ls47译码器、显示管来设计多功能电子秒表。
这就需要了解组合逻辑电路和时序逻辑电路;了解集成电路的引脚安排;了解各种计数、译码芯片的逻辑功能及使用方法;了解数字钟的原理。
本次设计是基于100进制电子数字钟的原理,实现具有100进制正计时与倒计时的电子钟,它主要由脉冲、100进制加减法器74LS192、译码器74LS47各功能模块在Ewb软件中将其仿真模拟出来,然后将其打包成可调用的元件,这时,再进行时序仿真、引脚锁定和嵌入逻辑分析仪之后,就编译下载至硬件中,选择正确的模式和各种设置后即可实现这次设计所要求的功能。
关键词:多功能电子秒表;100进制加减法计数;74ls192芯片;74ls47芯片。
《EDA课程设计——秒表》题目数字秒表学院信息学院专业电子信息工程班级 11电子A姓名朱彦杰学号指导教师凌朝东课题名称秒表完成时间11.28指导教师凌朝东学生姓名朱彦杰班级11电子A总体设计要求和技术要点设计要求:5. 秒表,难度系数0.9要求:计时范围为0∼59 分59 秒,精度为百分之一秒;能同时显示分秒信息(LED 数码管)。
技术要点:1.利用VHDL语言设计基于计算机电路中时钟脉冲原理的数字秒表。
该秒表计时范围为0秒~59分59.99秒,显示的最长时间为59分59秒,计时精度为10毫秒以内,具有复位功能。
2.秒表有共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为BCD码输出。
一、系统组成模块连接图和系统框图- 3 -二、模块器件及其程序1、分频模块及其程序本模块实现脉冲分频,本实验使用的EP2C5T144C8的频率计进行50MHz 分频产生100HZ 的脉冲。
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpin ISPORT ( CLK: IN STD_LOGIC; OUTCLK: out std_logic ); END fenpin;ARCHITECTURE behav OF fenpin IS BEGINPROCESS( CLK )variable cnt:integer range 0 to ; BEGINIF CLK'EVENT AND CLK = '1' THEN if cnt= then cnt:=0; outclk<='1'; elsecnt:=cnt+1;分频器十进制计数器 时钟频率十进制计数器 十进制计数器六进制计数器十进制计数器 六进制计数器输出到LED开始清零outclk<='0';end if;END IF;END PROCESS;END behav;2、十进制程序产生99毫秒、秒的低位、分的低位的功能。
倒计时电子秒表课程设计一、课程目标知识目标:1. 学生能理解倒计时电子秒表的基本原理,掌握其计时功能的工作机制。
2. 学生能运用已学的电子技术知识,分析倒计时电子秒表的电路图,并识别其中的电子元件。
3. 学生能解释倒计时电子秒表中涉及的数字电路知识,包括计数器、时钟信号等。
技能目标:1. 学生能通过小组合作,完成倒计时电子秒表的组装和调试。
2. 学生能运用所学编程知识,为倒计时电子秒表设计简单的控制程序。
3. 学生能运用倒计时电子秒表进行实验操作,并解决实际计时问题。
情感态度价值观目标:1. 学生培养对电子技术的兴趣,激发探索精神和创新意识。
2. 学生在小组合作中,学会沟通、协作,培养团队精神和责任感。
3. 学生通过实际操作,体验科技的魅力,增强实践能力,提高自信心。
课程性质:本课程为电子技术实践课程,旨在让学生通过动手实践,掌握倒计时电子秒表的相关知识。
学生特点:本课程面向初中年级学生,他们对电子技术有一定的好奇心,但需加强对电子元件和电路知识的理解。
教学要求:注重理论与实践相结合,强调学生的动手操作能力和问题解决能力,培养学生对电子技术的兴趣和情感。
通过分解课程目标为具体学习成果,为后续教学设计和评估提供依据。
二、教学内容1. 电子秒表基础知识:- 电子秒表的组成与功能- 计数器的工作原理- 数字电路基础:时钟信号、触发器、计数器2. 电子元件与电路:- 识别常见的电子元件:电阻、电容、二极管、晶体管等- 分析倒计时电子秒表的电路图- 电路的组装与焊接技巧3. 编程与控制:- 学习简单的编程知识,如C语言基础- 设计倒计时电子秒表的程序控制逻辑- 编程软件的使用和调试方法4. 实践操作:- 分组进行倒计时电子秒表的组装与调试- 设计并实现简单的计时功能应用- 解决实际操作过程中遇到的问题教学内容依据课程目标,结合教材相关章节,制定以下教学大纲:第1课时:电子秒表基础知识学习第2课时:分析倒计时电子秒表电路图,识别电子元件第3课时:电路组装与焊接技巧培训第4课时:编程知识学习与程序控制逻辑设计第5课时:实践操作,分组完成倒计时电子秒表的组装与调试第6课时:成果展示,交流与评价教学内容注重科学性和系统性,结合学生实际,循序渐进地安排教学进度,确保学生能够扎实掌握倒计时电子秒表的相关知识。
EDA课程设计题目:基于VHDL的数字秒表设计学生姓名学号学院电子信息学院专业 10通信工程指导教师二零一二年十二月基于VHDL的数字秒表设计摘要当前电子系统的设计正朝着速度快,容量大,体积小,质量轻,省电的方向发展。
推动该潮流迅速发展的决定性因素就是使用了现代化的EDA设计工具。
此次课程设计先确定了系统的逻辑功能,选择电路结构,然后确定并设计电路所需的数据处理以及控制模块,在Quartus II上以超高速硬件描述语言VHDL为系统逻辑描述方法完成了数字秒表所需的分频模块,十进制计数控制模块,六进制计数控制模块与顶层设计和引脚分配,对其进行编译仿真,并下载到实验板上实际验证,通过本设计锻炼了计算机应用能力、VHDL语言的编程能力和Quartus II 的使用能力,此次设计圆满完成了用VHDL语言设计1/1000秒数字秒表并仿真和实际下载到ALTERA公司的ACEX1K系列的EP1K30TC144-3中实现。
关键词:EDA、Quartus II、VHDL、模块、仿真、ACEX1KAbstractThe electronic system design is moving speed, large capacity, small volume, light weight, energy saving direction. The trend of rapid development of determinant is the use of modern EDA design tools. This course is designed to determine the logic function of the system, establish the algorithm process, selection of circuit structure and circuit design, and then determine the desired data processing and control module, in the Quartus II to very high speed hardware description language VHDL as the system logical description method for completing the digital stopwatch desired frequency module, decimal counting control module, base six counting control module with top design and pin assignment, the compiled simulation, and downloaded to the experiments on actual test and verify, through the design of exercise ability of computer application and VHDL programming language and Quartus II using capability, the design was completed by VHDL language design 1\/1000 seconds stopwatch and simulation and the actual download to ALTERA company's ACEX1K series EP1K30TC144-3 implementation.Key Words:EDA、Quartus II、VHDL、Module、Simulation、ACEX1K目录摘要----------------------------------------------------------------2 Abstract------------------------------------------------------------2一、设计要求--------------------------------------------------------4二、设计思想与方案论证----------------------------------------------42.1 设计思想----------------------------------------------------42.2 方案论证----------------------------------------------------4三、系统设计--------------------------------------------------------53.1 顶层电路设计------------------------------------------------53.2时钟分频电路模块---------------------------------------------63.3十进制计数控制模块-------------------------------------------73.4六进制计数控制模块-------------------------------------------7四、系统仿真--------------------------------------------------------84.1 模块仿真----------------------------------------------------84.1.1 时钟分频电路模块仿真 ----------------------------------84.1.2 十进制计数控制模块仿真---------------------------------94.1.3 六进制计数控制模块仿真---------------------------------94.2 总体仿真---------------------------------------------------10五、下载实现--------------------------------------------------------105.1 引脚分配---------------------------------------------------115.2 下载验证---------------------------------------------------11六、问题与不足-----------------------------------------------------13七、心得体会-------------------------------------------------------13参考文献-----------------------------------------------------------14附录---------------------------------------------------------------14附录1 :本设计各模块代码-------------------------------------------14一、设计要求设计用于体育比赛用的数字秒表,要求1、计时精度大于1/1000秒,计时器能显示1/1000秒的时间,提供给计时器内部定时的时钟频率为12MHz;计时器的最长计时时间为1小时,为此需要一个7位的显示器,显示的最长时间为59分59.999秒2、设计有复位和起/停开关(1) 复位开关用来使计时器清零,并做好计时准备。
课程设计目录第一章:系统设计要求 (3)第二章:实验目的 (3)第三章:实验原理 (3)第四章:系统设计方案 (3)第五章:主要VHDL源程序 (4)1) 十进制计数器的VHDL源程序 (4)2) 六进制计数器的VHDL源程序 (5)3)蜂鸣器的VHDL源程序 (5)4)译码器的VHDL源程序 (6)5)控制选择器的VHDL源程序 (7)6)元原件例化的VHDL源程序 (8)第六章:系统仿真 (10)第七章:系统扩展思路 (11)第八章:设计心得总结 (11)数字秒表的设计一、系统设计要求1.秒表共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为BCD码输出,这样便于和显示译码器的连接。
当计时达60分钟后,蜂鸣器鸣响10声。
2.整个秒表还需有一个启动信号和一个归零信号,以便秒表能随意停止及启动。
3.秒表的逻辑结构较简单,它主要由显示译码器、分频器、十进制计数器、六进制计数器和报警器组成。
在整个秒表中最关键的是如何获得一个精确的100HZ计时脉冲。
二、实验目的通过本次课设,加深对EDA技术设计的理解,学会用QuartusⅡ工具软件设计基本电路,熟练掌握VHDL语言,为以后工作使用打下坚实的基础。
三、实验原理秒表由于其计时精确,分辨率高(0.01秒),在各种竞技场所得到了广泛的应用。
秒表的工作原理与数字时基本相同,唯一不同的是秒表的计时时钟信号,由于其分辨率为0.01秒,所以整个秒表的工作时钟是在100Hz的时钟信号下完成。
当秒表的计时小于1个小时时,显示的格式是mm-ss-xx(mm表示分钟:0~59;ss表示秒:0~59;xx表示百分之一秒:0~99),当秒表的计时大于或等于一个小时时,显示的和多功能时钟是一样的,就是hh-mm-ss(hh表示小时:0~99),由于秒表的功能和钟表有所不同,所以秒表的hh表示的范围不是0~23,而是0~99,这也是和多功能时钟不一样的地方。
西安郵電學院控制系统课程设计报告书系部名称:信息与控制系学生姓名:XXX专业名称:测控技术与仪器班级:测控XXXX2010年9月13日至时间:2010 年9月26日电子秒表的设计一、设计要求设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。
计时长长度为300秒,需显示百分秒。
二、 设计方案分析1.方案设计数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。
本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。
本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。
其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS 强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。
本设计利用STC89C51单片机的定时器/计数器定时和计数的原理,使其能精确计时。
利用中断系统使其能实现开始暂停的功能。
根据要求知道秒表设计主要实现的功能是计时和显示。
因此设置了两个按键和LCD 显示时间,两个按键分别是开始、停止和复位按键。
利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。
电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。
硬件电路图按照图1.1进行设计。
图1.1 数字秒表硬件电路基本原理图本设计中,数码管显示的数据存放在内存单元31H -33H 中。
其中31H 存放分钟变量,32H 存放秒钟变量,33H 存放10ms 计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD 码。
由于采用软件动态扫描实现数据显示功能,显示用十进制BCD 码数据的对应段码存放在ROM 表中。
显示时,先取出31H -33H 某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。
电子课程设计—数字秒表的设计数字秒表的设计数字秒表的设计一、设计任务与要求1、数字秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。
秒。
2 2、数字秒表的计时精度是、数字秒表的计时精度是10ms 10ms。
3 3、、复位开关可以在任何情况下使用,复位开关可以在任何情况下使用,即便在计时过程中,即便在计时过程中,即便在计时过程中,只要按一下复位只要按一下复位开关,计时器就清零,并做好下次计时的准备。
开关,计时器就清零,并做好下次计时的准备。
4 4、、具有启具有启//停开关,停开关,即按一下启即按一下启即按一下启//停开关,停开关,启动计时器开始计时,启动计时器开始计时,启动计时器开始计时,再按一下再按一下启/停开关则停止计时。
停开关则停止计时。
二、总体框图由频率信号输出端输出频率为100HZ 的时钟信号,输入到微妙模块的时钟端clk ,高/低电平电平频率信号输入输入微妙模块微妙模块秒模块秒模块分模块分模块置数/位选位选显示模块显示模块进位进位微妙模块为100进制的计数器,产生的进位信号co 输入到下一级秒模块的时钟端,以此类推,直到分模块计数到59进60时,产生的进位信号不输出,计数清零。
将微妙、秒、分产生的计数通过置数/位选再通过显示模块实时显示。
设计方案:利用一块芯片完成除时钟源,利用一块芯片完成除时钟源,按键和显示器之外的所有数字电路功按键和显示器之外的所有数字电路功能。
所有数字逻辑功能都在CPLD 器件上用VHDL 语言实现。
这样设计具有体积小,设计周期短,调试方便,故障率地和修改升级容易等特点,本设计采用自顶向下,混合输入方式(原理图输入——顶层文件链接和VHDL 语言输入——各模块程序设计)实现数字秒表的设计,下载和调试。
三、功能模块1.1. 微秒模块微秒模块采用VHDL 语言输入方式,以时钟clk clk,清零信号,清零信号clr 以及暂停信号STOP 为进程敏感变量,程序如下:为进程敏感变量,程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity MINSECONDb isport(clk,clrm,stop:in std_logic;----时钟时钟//清零信号清零信号secm1,secm0:out std_logic_vector(3 downto 0);----秒高位秒高位//低位co:out std_logic);------- co:out std_logic);-------输出输出输出//进位信号进位信号 end MINSECONDb;architecture SEC of MINSECONDb is signal clk1,DOUT2:std_logic;beginprocess(clk,clrm)variable cnt1,cnt0:std_logic_vector(3 downto 0);---计数计数 VARIABLE COUNT2 :INTEGER RANGE 0 TO 10 beginIF CLK'EVENT AND CLK='1'THENIF COUNT2>=0 AND COUNT2<10 THEN COUNT2:=COUNT2+1; ELSE COUNT2:=0;DOUT2<= NOT DOUT2; END IF; END IF;if clrm='1' then----if clrm='1' then----当当clr 为1时,高低位均为0cnt1:="0000"; cnt0:="0000";elsif clk'event and clk='1' then if stop='1' then cnt0:=cnt0; cnt1:=cnt1; end if;if cnt1="1001" and cnt0="1000" ;then----then----当记数为当记数为9898(实际是经过(实际是经过59个记时脉冲)个记时脉冲) co<='1';----co<='1';----进位进位进位cnt0:="1001";----cnt0:="1001";----低位为低位为9elsif cnt0<"1001" then----elsif cnt0<"1001" then----小于小于9时 cnt0:=cnt0+1;----cnt0:=cnt0+1;----计数计数计数 --elsif cnt0="1001" then --clk1<=not clk1;elsecnt0:="0000";if cnt1<"1001" then----if cnt1<"1001" then----高位小于高位小于9时 cnt1:=cnt1+1; elsecnt1:="0000"; co<='0'; end if; end if; end if;secm1<=cnt1; secm0<=cnt0;end process; end SEC;程序生成器件如图:clk clrm stopsecm1[3..0]secm0[3..0]coMINSECONDbinst3微妙模块生成的器件可以实现带有100进制进位和清零功能,暂停等功能,MINSECONDb 输入为100HZ 脉冲和低电平的清零信号CLR 与暂停信号STOP STOP,输出,输出微妙个位、十位及进位信号CO CO。
目录第一章1.1背景1.2项目和索引11.3功能。
1第二章道路的盒子⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯ 22.1路径盒原理剖析3.2控制电路6三3个分支。
74.4示范路第4章整机道路竣工⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯ 105.1整机电路原理106.2整个电路的工作原理第5章道路设施127.1合理布局12五2分。
13⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯ 14 珍藏与体验15到。
17参照文件18附件19课程设计作业电子秒表课程设计功能:直接显示“秒”和“秒”的电子秒表“毫秒”,功能计不时间(0-999S)。
技术指标技术指标:一计数范围从000到999。
2拥有启动、暂停、停止功能。
工作量是三周3月7日3月8日,我们检查了数据并剖析了原理在3月9日列出组件并购置组件原理框图绘制于3月10日工作计划是在3月11日至12日安装和调试电路3月20日3月21日工程查收3月22日至3月23日的最后检查和书面指示3月24日准备防守3月25日辩论讲课老师建议讲课老师署名:详细日期第一章前言1.1背景跟着电子技术的发展,电子技术在各个领域的应用愈来愈宽泛。
人们的认同对信息技术的认识也渐渐加深。
作为一个专业的大学生电子学,我们不单要有扎实的知识基础又有知识,又有好的课程这方面的知识,还要有很强的着手能力。
现实也要求我们不单要掌握电子学的理论技术,还要掌握电子电路设计、实验研究与调试技术。
1.2技术指标1计数范围:000~999。
2拥有启动功能,停下来。
1.3设计功能电子秒表是一种重要的时间记录工具宽泛应用于各行各业。
可宽泛应用于工业生产中运动目标检测速度和加快度的丈量也可用于考证牛顿第二定律,机械能守恒和其余物理实验它合用于丈量短时间间隔的状况下高精度。
作为丈量工具,电与其余通用计时工具对比,子秒表拥有方便、正确、可比性强不单能够提升正确度并且能够大大减少操作人员的负担,降低成本错误率。
第二章方框图设计2.1回路框图电路框图主要由脉冲构成产生电路、控制分频电路、计数电路电路及解码驱动电路以及显示电路等单元电路。
数字秒表的设计实现团队成员:董婷詹磊胡鹏一、测试要求1. 有源晶振频率:24MHZ2. 测试计时范围:00’00”00 ~ 59’59”99,显示的最长时间为59分59 秒3. 数字秒表的计时精度是10ms4. 显示工作方式:a、用八位数码管显示读数b、用两个按钮开关(一个按钮使秒表复位,另一个按钮控制秒表的启动/暂停)二、设计要求1. 设计出符合设计要求的解决方案2. 利用软件对各单元电路及整体电路进行仿真3. 在开发板上实现设计5. 撰写设计报告三、秒表功能键1、power:秒表电源键2、Reset:秒表复位清零键3、run/stop:秒表启动/停止键四、实验原理1 .实验设计原理(1)秒表的逻辑结构较简单,它主要由十进制计数器、六进制计数器、分频器、数据选择器、和显示译码器等组成。
在整个秒表中最关键的是如何获得一个精确的100HZ计时脉冲,除此之外,整个秒表还需有一个启动信号和一个清零信号,以便秒表能随意停止、启动以及清零复位。
(2)秒表有共有8个输出显示,其中6个显示输出数据,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应;另外两个为间隔符,显示‘-’。
8个计数器的输出全都为BCD码输出,这样便与同显示译码器连接。
(3)可定义一个24位二进制的寄存器hour用于存放8个计数器的输出,寄存器从高位到低位每连续4位为一组,分别存放百分之一秒、十分之一秒、间隔符、秒、十秒、间隔符、分、十分。
由频率信号输出端输出频率为100HZ的时钟信号,输入到百分之一秒模块的时钟端clk,百分之一秒模块为100进制的计数器,当计数到“1001”时,百分之一秒模块清零,同时十分之一秒模块加1;十分之一秒模块也为100进制的计数器,当计数到“1001”时,十分之一秒模块清零,同时秒模块加1;以此类推。
直到分模块计数到59进59。
(4)为了消除按键消抖问题,定义寄存器key-inner来存储按键key的输入信号,key-flag作为启动/暂停的转换标志,key-inner[0]出现一个下降沿时,key-flag取反一次,当key-flag为0时计数器启动,1时计数器暂停,当key-flag 为1同时key-inner[1]为9时,计数器清零。
《电子技术》课程设计报告题目数字式秒表学院(部)电控学院专业自动化班级32010701学生姓名罗天美学号3712 月14 日至12 月24 日共2 周指导教师(签字)肖梅前言本课程设计是进一步的数电学习,是数电知识在实际生活当中的主要应用之一,我们本着学以致用的原则,对学过的知识进行进一步的深化理解,以达到最终掌握的目的。
因此本课程设计通过对所学电路的比较分析,选择最优方案,通过这个应用实例,我们在掌握有关知识的过程当中,即可以提高学习兴趣,又可以对数字集成电路器件的使用形成一个完整的概念。
本课设中的各项模块,没有不以仿真软件的结果为实际支撑,这样做的目的可以锻炼我们的动手能力。
在本次课程设计当中,我们通过上网查询我们这个题目的有关资料之外,还通过在图书馆找寻相关书籍,以及我们数电课本和数电实验指导书等众多途径获得相关资料。
首先,秒表的分辨率为0.01秒,故要获得频率为100 HZ的基准毫秒脉冲;其次,分、毫秒计数器为100进制计数器,秒计数器为60进制计数器,还要通过译码器对计数器的输出进行译码,再通过七段数码管进行最后的时间显示。
最后,用一个控制键实现秒表的启动/暂停/继续计数功能,用另一个控制健实现秒表的清零功能。
分别实现以上模块功能,即可设计出符合要求的数字秒表。
在秒表的设计过程中,杨莎莎,李勇霞,罗天美为一组,罗天美负责获取基准脉冲的电路的设计,杨莎莎负责控制电路选择这个模块功能实现的设计,李勇霞同学负责计数□译码□显示单元的设计,而设计的整体框图和最后的总图连接由我们三人共同讨论决定。
最终,经过我们三人的共同努力,实现了要求的功能的逻辑设计,尽管,在最终的仿真阶段还有一些问题未能解决。
在此过程当中,因为我们知识有限,不免会有错误出现,还望老师批评指正。
目录摘要 (5)第一章系统概述 (6)1.1 数字式秒表的设计意义 (6)1.2 数字式秒表的设计要求与分析 (6)1.3 设计方案论证 (6)1.4 总体设计方案框图及分析 (6)第二章单元电路的设计与分析 (7)2.1 基准脉冲的获取 (7)2.2 控制电路的选择 (12)2.3 计数、译码、显示单元的设计 (14)第三章整体电路图 (19)3.1 总体电路图 (19)第四章体会与收获 (19)4.1 遇到的问题及解决方案 (19)4.2 心得体会 (20)参考文献 (21)元器件明细表 (21)鸣谢 (21)附图 (22)摘要本次的设计任务是一个数字秒表,而秒表与普通的钟表不同,它的目的是对从某一时刻到另一时刻的时间间隔进行计时。
**交通大学
信息科学与工程学院
综合性实验报告
姓 名: *** 学 号 631206050***
班 级: 2012级 软件2班
实验项目名称: 电子秒表
实验项目性质: 设计性实验
实验所属课程: 数字电路技术基础
实验室(中心): 电子电工实验室
指 导 教 师 : ***
实验完成时间: 2013 年 12 月 20 日
一、实验目的
1.熟悉计数器的工作原理及特点;
2.了解和掌握四位同步可预置二进制计数器74LS161的使用方法;
3.学习设计N进制加法计数器的方法;
4.了解电子秒表的设计原理;
5.掌握电子秒表的设计方法;
二、实验内容及要求
1. 自己设计的同学可以在实验中验证;
2. 按老师要求做的最好回去用EWB设计60分钟的电子秒表;
3.完成实验报告,附上电路图及仿真结果;
4.总结数字电路设计的一般方法,掌握常见的数字电路设计的软件。
三、实验原理
本实验采用4枚74LS161计数器及少量的门电路组成。当原有计数器从全0状态
开始计数并接收了n个脉冲后,电路进入SN状态;如果将SN状态译码产生一个置零
信号加入到计数器的置零输入端,则计数器立刻返回S0状态,因此可以得到相应进制
的计数器。由于电路一旦进入SN状态后立刻又被置成S0状态,所以SN状态只在极短
的时间出现,在稳定的状态循环中不包括SN状态。
教师评阅意见:
签名: 年 月 日
实验成绩:
本实验采用的4枚74LS161计数器中其中两枚采用十进制计数,另外两枚采用六
进制计数用于实现60分钟秒表的设计。
五、实验过程及原始记录(含电路图)
本实验采用4枚74LS161计数器进行实验设计,用于构成秒表;设计过程中其中
两枚需设计成十进制计数器;另外两枚需设计成6进制计数器。
六进制计数器:当Q3Q2Q1Q0=0110时,置零输入端输入置零信号使计数器清零;
当Q3Q2Q1Q0=0101时,产生一个进位信号输入下一级计数器的EP、ET工作状态控制
端。
十进制计数器:当Q3Q2Q1Q0=1010时,置零输入端输入置零信号使计数器清零;
当Q3Q2Q1Q0=1001时,产生一个进位信号输入下一级计数器的EP、ET工作状态控制
端。
其电路图实现如下:
六、实验结果及分析
运行程序,实验结果正确。
能用于实现秒表的相关功能,能用于测量时间,进行分和秒的测定;
能实现分和秒的显示,如下图所示:
七、实验体会
通过本实验的设计,让我对计数器更加了解了,掌握了其原理及其使用方法,提
高了自己的实验设计能力;由于第一次使用该软件,对软件不够了解,使用起来较困难,
以后应加强对该软件的使用练习。