当前位置:文档之家› 可编程彩灯控制器原理及设计

可编程彩灯控制器原理及设计

可编程彩灯控制器原理及设计
可编程彩灯控制器原理及设计

目录

一、课题设计任务及要求 .3

二、设计目的 3

三、优选设计方案 4

四、整体设计思想及原理框图 5

五、各模块设计与分析 6

1、脉冲发生电路 7

2、控制电路和译码电路 10

3、存储电路 12

4、数码管显示电路 .14

六、元器件清单 15

七、安装及调试中出现的问题和解决方法 15

八、设计感想 17

附录

一、实验电路图 20

二、实验电路连接图 .21

三、参考文献 21

一、课题设计任务及要求

课题名称:可编程彩灯控制器

设计任务及要求:

1、设计脉冲产生电路、图形控制电路和存储电路;

2、用8×8LED点阵作为显示电路,显示内容的动面感要强。

3、能用按键切换不同的显示组合,至少有3个按键切换;

4、每种组合至少有3种变化,每种组合内图形能连续循环;

5、要有数码管显示当前是第几种组合(或是第几个按键);

6、图形显示间隔(显示频率)至少有3种可选。

控制器可有2种控制方式:

(1)规则变化:变化节拍有0.5秒和0.25秒,交替出现,每种节拍可有多种花样,各执行1或2个周期后轮换;彩灯变化方向有单向移动和双向移动、跳跃移动等。

(2)随机变化。变化花样相同,但节拍和花样的轮换随机出现。

7、完成电路全部设计后,通过实验箱验证设计课题的正确性。

二、设计目的

本课程设计主要是为了实现可编程彩灯控制的功能,且通过本次电子课程设计,了解电子产品设计的一般过程,掌握电子线路设计的基础方法和一般过程,能灵活运用已学过或者类似的集成块构成电路实现上述功能,还能灵活掌握555电路的应用方法。能用仿真软件对电子线路进行仿真设计,还能用Portel等软件绘制PCB图,掌握了电子电路调试的方法,且能独立解决设计与调试过程中出现的一般问题,并进一步掌握EEPROM的编程方法和应用。

三、优选设计方案

方案A:

根据设计要求,本系统由控制电路,编码发生电路和输出驱动电路等组成。其彩灯控制器的总体设计思想如下:

1.编码发生器

编码发生器根据花形要求,按节拍送出64位状态编码信号,以控制彩灯按规律亮或灭。因为彩灯路数少,花形要求不多,可选用移位寄存器输出64路数字信号,控制彩灯发光。编码发生器采用16片4位通用移位寄存器74LS194来实现。74LS194具有异步清除和同步预置、左移、右移和保持等多种功能,控制方便灵活。64路彩灯采用两片74LS194组成64位移位寄存器,花形设计比较灵活。移位寄存器的64个输出信号通过驱动电路控制电路来控制彩灯,编码器中数据输入端和控制端的接法由花形决定。根据选择的花形,可列出移位寄存器(编码发生器)输出状态。

2.控制电路

控制电路为编码器提供所需的节拍脉冲和驱动信号,控制整个系统工作。控制电路的功能有两个:一是按需要产生节拍脉冲;二是产生移位寄存器所需要的各种驱动信号。控制电路设计通常按照下述步骤进行:逐一分析单一花形运行,移位寄存器的工作方式和驱动要求,按照工作状态决定74LS194移位寄存器工作的状态顺序,同时是分析移位寄存器工作方式和驱动要求的依据。

方案B:

采用555定时器输出脉冲,高频通过计数器控制电路和译码电路,不断刷新显示矩阵的各列;而低频产生脉冲,决定显示哪一幅画面。由于需要产生64幅以上的图形,可以设计一个64进制的计数器,即可显示64幅图,此低频接在EEPROM的高位,而高频计数器控制电路的输出接在EEPROM的低三位。即高位决定显示哪幅画,低位表示每幅图形的每列的显示。

显然,方案B比较合理而且能实现课程设计的要求,采用方案B来进行课程

设计。

四、整体设计思想及原理框图

本系统可设计为四个模块:

1.脉冲发生电路

采用两个555定时器组成振荡器,一个产生高频和一个产生可调低频。高频通过计数器控制电路和译码电路,不断刷新显示矩阵的各列;而低频产生脉冲,决定显示哪一幅画面。

2.图形控制电路

用74LS161芯片设计一个64进制的计数器,以显示64幅图,其中低片计数器构成16进制,高片计数器构成4进制,并且将低频通过计数控制电路接在EEPROM的高位,从而选择显示哪一幅画。而高频通过计数器控制电路接在EEPROM 的低三位,不断刷新显示矩阵的各列,用以控制图形的显示。由于显示矩阵是由64个发光二极管组成,把译码器输出接在显示矩阵的阴级进行行控制,EEPROM 接在显示矩阵的阳极进行列控制,只有同时导通时,二极管才亮。译码电路是低电平有效,每次只有一个输出有效,所以需要快速进新刷新,故将高频通过计数器控制电路接在译码电路的输入端。

3.数码管显示电路

当前8X8显示矩阵显示的是哪一幅画,可以通过2个数码管显示出来。其中第一个数码管显示该画面所在的组别,另一个显示该幅画为这个组别的第几幅画。由于上面设计为16X4的64进制计数器控制显示画面。故可以设计四种组合,每一个组合为16副画。

4.存储电路

显示什么样的图形就决定于EEPROM的编程,而最终显示在显示矩阵上。

此外,暂停功能:控制低频计数器低位的EP和ET端实现。手动选画功能:当低频计数器的PE端为高电平时,图形自动连续循环;当PE端为低电平时,计数器处于置数功能,可通过选画开关选择需要显示的图画,其中高片计数通过置数选择画面组别,底片计数器通过指数选择此组合内的某一幅画。清零功能:控制低频计数器的清零端R,当R=0时,计数器实现清零功能。

原理框图如下:

五、各模块设计与分析

根据设计要求,本系统由脉冲发生电路、控制电路、译码驱动电路、存储矩阵和显示电路等几部分构成可编程彩灯控制电路。

A. 脉冲发生电路

1、555定时器的组成和功能

⑴.内部组成框图:它主要由两个高精度电压比较器A1、A2,一个RS触发器,一个放电三极管和三个5KΩ电阻的分压器而构成。(如下图所示)

⑵.引脚图及其功能

1脚:外接电源负端VSS 或接地,一般情况下接地。 3脚:输出端Vo 2脚:TL 低触发端 6脚:TH 高触发端

4脚:D R 是直接清零端。当D R 端接低电平,则时基电路不工作,此时不论TL 、

TH 处于何电平,时基电路输出为“0”,该端不用时应接高电平。 5脚:Vco 为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电

压,当该端不用时,应将该端串入一只0.01μF 电容接地,以防引入干扰。 7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。 8脚:外接电源Vcc ,双极型时基电路VCC 的范围是4.5 ~ 16V ,CMOS 型时基电

路Vcc 的范围为3 ~ 18V 。一般用5V 。 ⑶.功能介绍:

在1脚接地,5脚未外接电压,两个比较器A1、A2基准电压分别为2/3V ,1/3V 的情况下,555时基电路的功能表如下表所示。

清零端D R 高触发端TH 低触发端TL Qn+1 放电管T

功能 0 0 导通 直接清零 1 0 导通 置0 1

1

截止

置1

Vcc V o ’

Vco

TH

V 。 GND

2

12

1PH R 2R R R T t D ++==

⑷.555定时器构成的多谐振荡器工作原理

接通电源后,假定V 0是高电平,则T 截止,电容C 充电。充电回路是V CC —R 1—

R 2—C —地,V C 按指数规律上升,当VC 上升到2/3V CC 时(TH 、TL 端电平大于2/3V CC ),

输出V 0翻转为低电平。V 0是低电平,T 导通,C 放电,放电回路为C —R 2—T —地,V C 按指数规律下降,当V C 下降到1/3V CC 时(TH 、TL 端电平小于1/3V CC ),V 0输出翻转为高电平,放电管T 截止,电容再次充电,如此周而复始,产生振荡,经分析可得:

输出高电平时间 C )R R (7.0t 21PH += 输出低电平时间 C R 7.0t 2PL = 振荡周期 C )R 2R (7.0t t T 21PL PH +=+= 输出方波的占空比

2、本模块工作原理介绍

脉冲发生电路主要用来产生时间基准信号(脉冲信号)。因为可编程循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号。本系统采用两片555定时器分别构成高频脉冲发生器和低频脉冲发生器。高频脉冲发生器是用555构成多谐振荡器。由于人眼每秒可分辨出24幅图,而高频计数器采用8进制,作为刷新频率的脉冲,此高频刷新电路输出脉冲的频率应大于24*8=192Hz ,这样便可以显示整幅的画面。低频脉冲发生器用于提供计数电路的脉冲,它能决定画面显示的速率。速率的调节可通过调节滑动电阻(0~1M )来实现。

高频脉冲发生 低频脉冲发生器

R1=100K R1=750K R1’=0~1M

R2=100K R0=R2=1K

C1=C2=0.01Uf C1=10Uf C2=0.01Uf

f=476Hz f=0.18~0.33Hz

B.控制电路和译码电路

1.74LS138功能介绍

74LS138是一种常见的3线8线译码器,它的八个输出引脚,任何时刻要么全为高电平1,则芯片处于不工作状态;要么只有一个为低电平0,其余7个输出引脚全为高电平1;如果出现两个输出引脚同时为0的情况,说明该芯片已经损坏。其功能表如下:

当附加控制门的输出为高电平(S=1)时,可由逻辑图写出

由上式可以看出,输出是这三个输入变量的全部最小项的译码输出,所以也把这种译码器叫做最小项译码器。

74LS138的管脚图如右:

2.74LS161功能介绍

74LS161是常用的四位二进制可预置的同步加法计数器,它可以灵活的运用在各种数字电路,以及单片机系统中实现分频器等很多重要的功能。

⑴74LS161引脚图管脚图

ET

74LS161

EP

CP:时钟 P0~P3:四个数据输入端R:清零端

EP,ET:使能端PE:同步置数端 Q0~Q3:数据输出端TC:进位输出(TC=Q0·Q1·Q2·Q3·ET)

⑵74LS161功能表

74LS161真值表

从74LS161真值表功能表中可以知道,当清零端CR=“0”,计数器输出Q3、

当R=0时,Q3、Q2、Q1、Q0立即为全“0”,这个时候为异步复位功能;当R=1且PE=0时,在CP信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端P3,P2,P1,P0的状态一样,为同步置数功能。而只有当R=PE=EP=ET=1、CP脉冲上升沿作用后,计数器加1。74LS161还有一个进位输出端TC,其逻辑关系是T C= Q0·Q1·Q2·Q3·ET。应用计数器的清零功能和置数功能,可实现本系统中清零、选画的功能。

3、本模块工作原理介绍

⑴控制电路

控制电路由3片74LS161计数器和3位二进制译码器74LS138组成,其中一片74LS161连接高频脉冲发生器和译码器74LS138,对显示矩阵电路进行行扫描和控制;另两片74LS161构成高低两片计数器,共同控制选画,置位,暂停等功能。

⑵译码电路

由一片74LS161和一片74LS138构成高频计数器和译码控制电路,由两片74LS161构成低频计数器

本模块电路图如右:

C.存储电路

存储电路用芯片EEPROM2864来存储不同画面的程序。EEPROM2864的低三位

地址线A0到A2接在高频计数器输出端,显示每列的图形;高三位地址线A3到A8接低频计数器的输出,决定显示的哪幅画。

由EEPROM2864中的代码来决定图形的形状。编程如下表:

D.存储电路

实验中用以两个8段LED数码管分别显示图形所在组次和组次中的第几副,其中74LS48是一个二-十进制译码器,其输出端直接接到数码管即可,设计的电路如下:

六、元器件清单

七、安装及调试中出现的问题和解决方法

1.遇到的问题:连了一部分线后,发现有的芯片放哪都不合适,怎么着都不

能避免交叉。

解决方法:整体结构布局是很重要的,这直接影响以后的连线,在开始连线之前一定要合理的规划好如何放置每个芯片。首先要保证电路功能和性能指标,在此基础上满足工艺性的要求。用Portel画电路图时注意芯片的布局,尽量避免电线相交叉。然后按电路图所示,分高频低频两大块,安排好各芯片的位置。

2.遇到的问题:连线时发现好多地方不可避免出现了交叉的现象。

解决方法:连线要求保持导线横平竖直,紧贴面包板,尽量使其不要交叉,使得工艺美观,故连线之前要熟悉面包板的特性,清楚的知道哪些孔之间是相互接通的,遇到导线要交叉时,便可将导线剪断,再巧借面包板上的孔在内部将导线连起来。一般来说,先接一些地线和电源线,因为所有芯片都要接地和电源的,然后按照电路图和整体结构布局用导线依次连接各管脚。如无避免交叉则应不同类别的导线用不同的颜色那样即使交叉了也容易分辨。

3.遇到的问题:显示矩阵上有几个点总是不会亮。

解决方法:利用老师给我们的显示矩阵管脚对每个二极管的控制关系,用万用表测试,若二极管还是不亮,说明给二极管已坏,是显示矩阵本身的问题;若二极管亮了,说明是接线问题,就要再检查连线。检查连线时,用一

根导线,一端接地,一段分别接触138和2864的各个与点阵相连的管脚,查看是哪一个管脚没有连接好。哪一行或者那一列不亮时为连接不好。

4.遇到的问题:线路正确连接完毕后,打开电源,但是显示矩阵的显示并不

是理想设计的连续状态,而是断续的杂乱无章的。

解决方法:有可能是74LS161计数芯片不起作用,所以在实验开始之前要对各元件认真检查并进行检测,确保元件完好,可以避免出现坏的元件给以后的调试带来麻烦。而此次实验最大的败笔就在于此。由于事先没有认真检查芯片,而后得不到正确的结果都还以为是连线的问题,从而浪费很多的时间,做了很多的无用功。对于74LS161,我们就将其输出接到四个高低电平指示灯上,给各脉冲,看是否是16进制顺序计数。至于电阻,我们不但会观察其色环,还会用万用表直接测其阻值。检查过后才发现原来实验室里面有一个批次的161可能都存在问题,它的计数不是规律的16进制,然后我们把这个问题反映给了老师。

5.遇到的问题:显示矩阵只会显示一幅图案,不是正常的循环显示所有图形。解决方法:正常工作状态下,显示矩阵应该是自动、连续循环EEPROM内的图形,检查后发现显示矩阵只会显示一幅图形是因为74LS161的置数端被置为有效,则此时本系统有是在执行选画功能,可以通过改变选画开关来选画。

说明:本系统中开关较多,有6个选画开关、1个暂停开关、1个清零开关和1个选画开关,我们应该弄懂各开关闭合、断开与其所对应的系统的工作状态之间的关系,才能在调试时得到想要的结果。

6.遇到的问题:对EEPROM编程后,接到面包板上看结果,但是只能显示所编

的一部分图形,并且每个图形显示一次之后总会出现一次全亮的状态,才会

再显示下一个图形。

解决方法:这是编程出了问题,EEPROM内一个单元有16位,但是编写一个图形只要8位,我们在编程时输入8位后就进入下一个单元的输入,直到最后输入64幅图的程序。用电脑读出之前写入EEPROM的程序,发现后面图形的程序已经超出系统对EEPROM的寻址范围,所以这些图形是无法显示的;在每个单元没编的后8位默认是高电平,故每次显示完一幅图就会显示一次全亮的状态,正确的编程方法是在一个单元内写入两幅图形的程序,那么图形就会连续显示了。

八、课程设计感想

为期两周的电子电工课程设计结束了,本次课程设计分为两个阶段。

1.理论设计阶段:要求同学们根据课题自行设计电路,选择元件,确定调试和测试方案。这是整个课程设计的基础,把电路设计好了,才谈得上实现功能;同时这也是最难的一个部分,以前我们接触的那些实验都是很短、很基础的,而且都有了原理图,只要我们连接线路将其功能实现出来就可以了,但是这次在课程设计中我们要把原来的许多知识组合起来才能完成的任务,这对我们来是说是一次考验。所以在这个星期里我和同组的同学到图书馆借来很多参考书,还上网找了一些资料,我们对相似的实验进行分析,一步步搞懂,把能借鉴的地方用到自己的实验中来,终于设计出电路图。

2.实际动手装配、调试、测试及验收阶段:来到实验室看到样品,觉得好复杂啊,那么多线,还不能交叉,既要实现功能还要保证工艺美观,不容易啊,不过我们会全力以赴做到最好。我们以最快的速度接好线,开始了课程设计中的又一个难题,就是调试。打开开关后显示矩阵并不正常,故按照模块,一块一块地检

查。从脉冲发生器开始,先对比电路图看是否接错,然后用示波器接到其输出端看输出波形是否是方波,并且可以从示波器上读出周期与理论值相比较;检查计数器就把其输出端接到八段数码显示管上,看其计数是否正确。在检查完各芯片以后还是不正常,可利用万用表测电位来查找错误之处,而且电位的检查从电源和地开始。另外,由于555定时器的输出频率太大,直接接到后续电路上不便观察结果。此时可改用实验箱上的脉冲,观察结果。

本次课程设计说起来真是不容易,要有耐心、有钻研精神,不仅巩固了以前所学过的知识,而且让我学到了很多在书本上所没有学到过的知识。在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,对于很多芯片的使用还处于一知半解的状态,但是经过此次实践,对于怎么去排错、查错,怎么去看每一部分的运行结果,怎么去了解芯片的功能以确保程序的正确性都有了更为深刻的认识。在调试过程中,我收获了很多,包括如何硬件测试、如何去连接复杂电路,如何用万用表排查错误等。在此过程中,遇到了很多在课堂上未多深思的问题,通过思考我对课本知识有了进一步的理解。这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,我们要把所学的理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力。此后,我要努力的培养自己的动手能力和实践能力。

而本次实验让我学会了一个道理那就是老师反复提醒我们要注意的地方一定是有其道理的,而我们不可自以为是。本次实验老师强调要检查好芯片再连线。而我自以为挑选的都是新芯片,不会有任何问题,而没有做这一道工作,导致后面的调试困难重重,浪费了很多的宝贵时间,还把本来已经很好看的工艺搞的面

目全非,影响了自己的成绩。虽然直到最后实在是确定不是连线的问题才想到是不是那几片新的161芯片的问题,果不其然自己用的三片新的161竟然都是坏的。还好在老师第二次检查的时候自己把这个发现告诉老师,同时自己也得到了正确的实验结果。

在本次课程设计中遇到了很多问题,但是在老师的指导下,最终都得到解决,使设计得以顺利完成,感谢老师在实验中的尽心指导。

附录:实验电路图

附录:实验连接图

参考文献

数字电子技术基础陈明义主编中南大学出版社

电工电子实验教程陈明义、宋学瑞主编中南大学出版社

电子技术课程设计实用教程陈明义主编中南大学出版社

简明电子元器件手册于安红主编上海交大出版社

电子电路实验及仿真路勇主编清华大学出版社

数字电子技术王建珍主编

数字电路逻辑设计李大友主编

EDA课程设计:八路彩灯控制器

EDA课程设计 设计题目:基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.通过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为和。三种花型分别是: (1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。 (2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。 四、实验环境 PC机一台;软件QuartusⅡ 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。 二选一模块:选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。

2、系统硬件单元电路设计 1.分频模块设计 实验程序:library ieee; use fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin process(clk) variable clkk1:std_logic:='0'; begin if clk'event and clk='1' then clkk1:= not clkk1; end if; clkk<=clkk1; end process; end behav; RTL电路图: 波形图:

彩灯控制器课程设计数电

电子技术课程设计 ---彩灯控制器 学院:电子信息工程学院 班级: 学号: 指导教师:

彩灯控制器 一、设计任务与要求: 设计一个彩灯控制器,要求: 1.四路彩灯从左向右逐次渐亮,间隔为1秒。 2.四路彩灯从右向左逐次渐灭,间隔为1秒。 3.四路彩灯同时点亮,时间间隔为1秒,然后同时变暗,时间为1秒,反复4 次。 二、总体框图 图(1)总体框图 根据设计要求,电路设计大体思路如下: 由脉冲发生器发出频率脉冲信号,利用计数器加法计数功能输出0000~1111的脉冲信号,经过数据选择器分别在0000~0011,0100~0111,1000~1111三个时段输出不同的高低电平,控制移位寄存器实现右移→左移→置数功能,从而控制

彩灯按照设计要现亮灭。 三、选择器件 本次课程设计所用器件如表一: 表一本次课程设计所用器件 1.同步二进制计数器74LS163

表二7-3 74LS163功能表 根据逻辑图、波形图、功能表分析,74LS163具有如下功能: 管脚图逻辑符号 1)1是同步4位二进制加法计数器,M=16,CP上升沿触发 2)2既可同步清除,也可异步清除。同步清除时,清除信号的低电平将在下一 个CP上升沿配合下把四个触发器的输出置为低电平。异步清除时,直接用 清除信号的低电平把四个触发器的输出置为低电平。 3)3同步预置方式:当LD = 0时,在CP作用下,计数器可并行打入预置数据. 当LD = 1时,使能输入PT同时为高电平,在CP作用下,进行正常计数。 4)PT任一为低时,计数器处于保持状态。 5) 5 CO为进位输出,可用来级联成n位同步计数器。 2.四位双向移位寄存器74LS194

多彩循环彩灯控制器设计.

1 设计意义及要求 1.1 设计意义 (1)通过此次课程设计,加深同学们对理论知识的理解,培养同学们的动手动脑能力以及解决实际问题的能力。 (2)培养同学们之间相互学习、相互交流合作共同解决问题的能力。 (3)培养同学们对电子设计的兴趣,查阅相关资料解决疑难的能力。 (4)同学们自学protues仿真软件画电路图并进行仿真操作,培养学生的自学能力。(5)增强同学们的创新能力,鼓励同学们设计出属于自己的方案。 1.2 设计要求 现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案: (1)使8只彩灯从右到左逐一循环点亮。 (2)使8只彩灯按照 1110 1110左移循环点亮。 (3)使8只彩灯交替闪烁。 (4)接着重复以上的动作,这样一直循环下去。时间间隔为0.5秒。 (5)严格按照课程设计说明书要求撰写课程设计说明书。 2 方案设计 2.1 设计思路 2.1.1 设计方案一 设计要求彩灯完成三个可以循环的功能,于是就把设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。由555多谐振荡器产生脉冲,再用74LS161进行分频,为电路提供脉冲信号。彩灯花样控制电路由74LS198和门电路构成。循环选择控制电路由74LS161和门电路组成。 图2.1 多彩循环彩灯控制器设计方案一系统框图

具体设计步骤:先将每个设计的功能要求的单独电路画出来,通过74LS198双向移位寄存器来实现对彩灯的直接控制。在完成单个功能要求之后,通过计数器74LS161配合相应的门从而选择哪一个门电路结构工作,把预先存在相应门电路结构输入端的数据送到移位寄存器74LS198的数据输入端,并对其进行置数。给移位寄存器加上相应的反馈,配合脉冲工作,当移位寄存器输出一种彩灯花样结束后,就重新置一次数,切换一种工作状态,从而实现在三种彩灯花样之间的循环。 2.1.2 设计方案二(小组方案) 此方案运用AT89S52单片机,通过IO口直接驱动LED灯。通过编写相应的程序从而实现在三种工作状态之间的切换。 设计框图如图2.2所示: 图2.2 多彩循环彩灯控制器设计方案二系统框图 2.2 方案设计 2.2.1 设计方案一电路图 多彩循环彩灯控制器设计方案一电路原理图如图2.3所示: 工作原理: 一、接通电源,555多谐振荡器产生周期为0.5秒的脉冲信号,将脉冲信号分别送 给计数器74LS161(1)和移位寄存器74LS198。计数器74LS161(1)按照反馈 置数法连接成8进制计数器从而实现对脉冲信号周期的扩展,即将原脉冲进行8 分频,得到周期为4秒的脉冲信号。用周期为4秒的脉冲信号触发下一个计数器 74LS161(2),把74LS161(2)连接成3进制计数器,Q1Q0就有三种循环状态00,01,10,00…… 二、将8个二输入与门7408作为一组,每个与门的选出一个输入端口连接在一起, 接控制信号,一共放置3组,组成3个与门结构。每组的二输入与门的另一个输 入端分别接上移位寄存器74LS198所需要的预置数,3组分别为00000001,111011

简易彩灯控制器电路

第一章.系统的方案的设计 1.1课程设计的要求 1. 要求电路能够控制8个以上的彩灯。 2. 要求彩灯组成四种以上的花形,每种花形连续循环两次,各种花形轮流显示。 1.2 课程设计的目的 1.阅读相关科技文献,本次课程设计需要对电子线路的设计与分析有一定的了解,所以对学生查阅一些科技文献能力提出了要求。 2.学习使用protel软件,本设计中需要画电路逻辑原理图,接线图,器件的引脚与功能图与功能表,真值表等的绘制,需要使用绘图软件。 3.要求会总节设计报告,终结报告时我们的一项基本能力,对所用原件及原理图进行解释,便于查找错误,也便于他人的阅读和了解。培养了我们的综合分析,解决问题的能力。 4.学会了解一些器件的参数及功能,对各种芯片的功能有所里了解并能够简单的应用。 5.培养电子设计的兴趣,有助于我们进一步了解数电课程。 1.3设计思路 设计电路系统可以由四部分组成,分别是:1.脉冲发生器,由555定时器,电阻及电容构成;2.分频电路,由四位二进制计数器74LVC161组成,为D触发器提供时钟信号;3.状态机电路,由双D触发器组成;4)移位显示器,由双向移位寄存器74HC194和发光二极管组成,实现花型显示。 1.4 设计框图 图1-4

把四花型彩光灯设计分为几个独立的功能模块进行设计,每个模块完成特定的功能,再它们有机的组织起来构成一个系统完成彩灯控制器的设计。系统可由四个模块组成。它们分别为:时钟振荡电路,555定时器构成多谐振荡器;分频电路,由四位二进制计数器 74LS161组成,为D 触发器提供时钟;状态机电路,由双 D 触发器组成;移位显示电路,由双向移位寄存器 74194 和发光二极管组成,实现花型显示。 电路系统由四部分组成: 1)时钟振荡电路由555定时器,电阻及电容构成时钟振荡电路,为系统提供时钟; 2)分频电路由四位二进制计数器74LVC161组成,为D触发器提供时钟信号,为状态机提供时钟; 3)状态机电路由双D触发器74LS74组成; 4)移位显示器由双向移位寄存器74HC194组成。 1.5 工作原理分析 由555定时器构成的时钟振荡电路产生固定频率的脉冲,一方面作用于由74161组成的分频电路,一方面作用于由74F194构成的移位输出电路,为他们提供时钟信号。由于74161是16分频计数器,故每十六个脉冲74LS161进位一次,致使触发器U1A翻转一次,而触发器U2A的3脚连接的是触发器U1A的5脚,实现了U1A的16分频和U2A的32分频。所以平均U1A翻转两次而U2A翻转一次。集成移位寄存器74194由个RS触发器及他们的输入控制电路组成,其中S1和S0是两个控制输入端。双D触发器的输出端改变S0,S1的值,实现左右移动控制。可组成U1A左移,U2A右移;U1A右移,U2A右移;U1A左移,U2A左移;U1A右移,U2A左移四种花型。每十六个脉冲每种花型恰好循环两次,而此时D触发器翻转,转换为下一种花型。 1.6 设计方案 用移位寄存器来控制彩灯的左右移动,用触发器和计数器组成的周期性触发电路,而此电路中的CP脉冲用NE555定时器通过外接电路实现。此种电路的优点就是CP脉冲的频率稳定,彩灯花样变换的效果好,而且实现了自动控制,于预期控制。

LED流水灯《八路彩灯控制电路》

《八路彩灯控制电路》课程设计报告 《八路彩灯控制电路》课程设计报告 专业:电子信息工程 班级:2010级2班 姓名:X X 学号:XXXXXXXX 同组成员:XX、XX 指导教师:XXX 2011年12月28日

八路彩灯控制电路 目录 一、课程设计目的 .................................... - 2 - 二、课程设计描述和要求............................... - 2 - (一) 彩灯控制器设计要求........................... - 2 - (二) 课程设计的总体要求........................... - 2 - 三、课程设计内容 .................................... - 3 - (一)原理分析................................... - 3 - (二) 器件选择..................................... - 5 - (三)电路连线................................... - 6 - 1.时钟信号电路 ................................... - 6 - 2. 花型控制信号电路 .............................. - 6 - 3.花型演示电路 ................................... - 7 - 4.总体电路图..................................... - 9 - 5.电路测试....................................... - 9 - 四、分析与总结 ..................................... - 11 -

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

彩灯循环控制器设计

课程设计(论文) 题目名称循环彩灯控制器设计 课程名称电子技术课程设计 学生姓名 学号 系、专业电气工程系、电气工程及其自动化指导教师 2011年12月16 日 I

邵阳学院课程设计(论文)任务书 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效;2.此表1式3份,学生、指导教师、教研室各1份。 II

指导教师(签字):学生(签字): III

邵阳学院课程设计(论文)评阅表 学生姓名学号 系电气工程系专业班级09电气工程及其自动化专业题目名称循环彩灯控制器的设计课程名称电子技术课程设计 一、学生自我总结 二、指导教师评定 注:1、本表是学生课程设计(论文)成绩评定的依据,装订在设计说明书(或论文)的“任务书”页后面; 2、表中的“评分项目”及“权重”根据各系的考核细则和评分标准确定。 IV

摘要 当今社会,经济发展迅速,人们对周围环境的要求也越来越高。人们渴望在一个多姿的环境中生活,那样也不会太单调寂寞。霓虹灯以它炫彩的英姿征服了人们的眼球,为人们的生活添姿加彩。随着人们生活环境的不断改善和美化,在许多场合可以看到彩色流水灯,以往死寂般的夜空也开始变得鲜活。 霓虹灯发展到现在已经慢慢的融入到了我们生活的点点滴滴,在我们习以为常的环境中,变换着它们的色彩,绚烂着人们的生活。本次实验设计中我们在原有的基础上改进,设计这款彩灯循环发光控制器,通过对NE555集成时基电路和计数器/译码分配器CD4017等集成块的合理组建,实现了对彩灯的循环发光控制功能。 关键词:计数器;数据选择器;移位寄存器;彩灯显示电路 V

可编程彩灯控制器原理及设计

可编程彩灯控制器原理 及设计 Company Document number:WTUT-WT88Y-W8BBGB-BWYTT-19998

目录 一、课题设计任务及要求 .3 二、设计目的 3 三、优选设计方案 4 四、整体设计思想及原理框图 5 五、各模块设计与分析 6 1、脉冲发生电路 7 2、控制电路和译码电路 10 3、存储电路 12 4、数码管显示电路 .14 六、元器件清单 15 七、安装及调试中出现的问题和解决方法 15 八、设计感想 17 附录 一、实验电路图 20 二、实验电路连接图 .21 三、参考文献 21 一、课题设计任务及要求 课题名称:可编程彩灯控制器 设计任务及要求: 1、设计脉冲产生电路、图形控制电路和存储电路;

2、用8×8LED点阵作为显示电路,显示内容的动面感要强。 3、能用按键切换不同的显示组合,至少有3个按键切换; 4、每种组合至少有3种变化,每种组合内图形能连续循环; 5、要有数码管显示当前是第几种组合(或是第几个按键); 6、图形显示间隔(显示频率)至少有3种可选。 控制器可有2种控制方式: (1)规则变化:变化节拍有秒和秒,交替出现,每种节拍可有多种花样,各执行1或2个周期后轮换;彩灯变化方向有单向移动和双向移动、跳跃移动等。 (2)随机变化。变化花样相同,但节拍和花样的轮换随机出现。 7、完成电路全部设计后,通过实验箱验证设计课题的正确性。 二、设计目的 本课程设计主要是为了实现可编程彩灯控制的功能,且通过本次电子课程设计,了解电子产品设计的一般过程,掌握电子线路设计的基础方法和一般过程,能灵活运用已学过或者类似的集成块构成电路实现上述功能,还能灵活掌握555电路的应用方法。能用仿真软件对电子线路进行仿真设计,还能用Portel等软件绘制PCB图,掌握了电子电路调试的方法,且能独立解决设计与调试过程中出现的一般问题,并进一步掌握EEPROM的编程方法和应用。 三、优选设计方案 方案A: 根据设计要求,本系统由控制电路,编码发生电路和输出驱动电路等组成。其彩灯控制器的总体设计思想如下:

彩灯控制器的设计电子课程设计

彩灯控制器的设计 一.内容提要: 随着社会的发展,街道、商场或公共场所通常都装有各种五彩斑斓的灯饰,这些霓虹灯既可以美化人们的生活空间,也给这个社会增添了不少色彩。特别是每逢节日晚上都能看到街道旁都挂起五彩缤纷彩灯,给人一种节日的气氛。然而,彩灯作为我们生活中的一部分,我们既要知道其然,还要知其之所以然。因此,我们有必要去研究彩灯的工作原理。本次设计主要阐述了由电子电路设计一个彩灯控制器,控制红绿黄三个灯,按一定规律依次点亮。即由电子电路实现一个可循环效果的彩灯控制器。 本设计主要讲述了彩灯控制器的工作原理以及其各个组成部分,记述了我在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及对它们的调试、对调试结果的分析,到最后得到比较满意的实验结果的方方面面。 二设计主要要求及指标 1、控制红、绿、黄一组彩灯循环闪亮,变化的规律是:红→红绿→绿→ 黄绿→黄→全亮→全灭→红,如此循环,产生“流水”般的效果。 2、彩灯白天不亮,夜晚自动亮。 3、“流水”的速度由快到慢,再由慢到快循环变化。 三、设计提示 原理框图如图1所示 1、彩灯的亮灭共有七种情况,可设计一个七进制的计数器,用计数器的状态控 制彩灯的亮灭;计数器应能够自启动。 2、“流水”的速度的控制可用两片555定时器电路实现。两片555接成振荡频 率不同的多谐振荡器,用频率低的振荡器上积分电容的三角波信号,调制另一个频率高的振荡器的振荡频率,使其高低往复变化。 3、用光敏器件(光敏电阻、光敏二极管、光敏二极管等)检测周围环境的光强, 以区分白天、夜夜,控制彩灯的亮灭。

图1 彩灯控制器框图 四.设计思路 根据题目要求,整体结构为脉冲信号源输出一定频率的脉冲给七进制计数器,七进制计数器受脉冲控制输出Q 1、Q 2、Q 0的不同状态,从而控制逻辑电路,逻辑电路输出控制彩灯的亮灭,达到要求。 根据所学内容,可分别确定所需元件,脉冲信号源有很多种,但要频率可控,可采用CB555定时器组成的多谐振荡器和滑动变阻器完成,多谐振荡器是常用的一种矩形波发生器,滑动变阻器通过改变其内部电阻来改变其输出矩形波的频率。七进制计数器可通过74LS160型同步十进制计数器改接而得。逻辑电路可使用74LS138型3位二进制译码器控制彩灯。 光敏器件检测电路 脉冲发生 七进制计数逻 辑 电 路 红 黄 绿 Q2 Q1 Q0 D CP 加减控制 循环结束结束判别 时钟快慢 控制

多彩循环彩灯控制器设计

多彩循环彩灯控制器设 计 Document number:WTWYT-WYWY-BTGTT-YTTYU-2018GT

1设计意义及要求设计意义 (1)通过此次课程设计,加深同学们对理论知识的理解,培养同学们的动手动脑能力以及解决实际问题的能力。 (2)培养同学们之间相互学习、相互交流合作共同解决问题的能力。 (3)培养同学们对电子设计的兴趣,查阅相关资料解决疑难的能力。 (4)同学们自学protues仿真软件画电路图并进行仿真操作,培养学生的自学能力。(5)增强同学们的创新能力,鼓励同学们设计出属于自己的方案。 设计要求 现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案: (1)使8只彩灯从右到左逐一循环点亮。 (2)使8只彩灯按照 1110 1110左移循环点亮。 (3)使8只彩灯交替闪烁。 (4)接着重复以上的动作,这样一直循环下去。时间间隔为秒。 (5)严格按照课程设计说明书要求撰写课程设计说明书。 2 方案设计 设计思路 设计方案一 设计要求彩灯完成三个可以循环的功能,于是就把设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。由555多谐振荡器产生脉冲,再用74LS161进行分频,为电路提供脉冲信号。彩灯花样控制电路由74LS198和门电路构成。循环选择控制电路由 74LS161和门电路组成。

图多彩循环彩灯控制器设计方案一系统框图 具体设计步骤:先将每个设计的功能要求的单独电路画出来,通过74LS198双向移位寄存器来实现对彩灯的直接控制。在完成单个功能要求之后,通过计数器74LS161配合相应的门从而选择哪一个门电路结构工作,把预先存在相应门电路结构输入端的数据送到移位寄存器74LS198的数据输入端,并对其进行置数。给移位寄存器加上相应的反馈,配合脉冲工作,当移位寄存器输出一种彩灯花样结束后,就重新置一次数,切换一种工作状态,从而实现在三种彩灯花样之间的循环。 设计方案二(小组方案) 此方案运用AT89S52单片机,通过IO口直接驱动LED灯。通过编写相应的程序从而实现在三种工作状态之间的切换。 设计框图如图所示: 图多彩循环彩灯控制器设计方案二系统框图 方案设计 设计方案一电路图 多彩循环彩灯控制器设计方案一电路原理图如图所示:

单片机---彩灯控制器

一、概述 单片机的发展 1.1.1单片机的概念 单片机是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的计算机系统。 1.1.2单片机的发展 1976年INTEL公司推出了MCS-48单片机,这个时期的单片机才是真正的8位单片微型计算机,并推向市场。它以体积小,功能全,价格低赢得了广泛的应用,为单片机的发展奠定了基础成为单片发展史上重要的里程碑。 在MCS-48的带领下,其后,各大半导体公司相继研制和发展了自己的单片机,象Zilog公司的Z8系列。到了80年代初,单片机已发展到了高性能阶段,象INTEL公司的MCS-51系列,Motorola公司的6801和6802系列,Rokwell公司的6501及6502系列等等,此外,日本的着名电气公司NEC和HITACHI都相继开发了具有自己特色的专用单片机。 80年代,世界各大公司均竞相研制出品种多功能强的单片机,约有几十个系列,300多个品种,此时的单片机均属于真正的单片化,大多集成了CPU、RAM、ROM、数目繁多的I/O接口、多种中断系统,甚至还有一些带A/D转换器的单片机,功能越来越强大,RAM和ROM的容量也越来越大,寻址空间甚至可达64kB,可以说,单片机发展到了一个全新阶段,应用领域更广泛,许多家用电器均走向利用单片机控制的智能化发展道路。 1982年以后,16位单片机问世,代表产品是INTEL公司的MCS-96系列,16位单片机比起8位机,数据宽度增加了一倍,实时处理能力更强,主频更高,集成度达到了12万只晶体管,RAM增加到了232字节,ROM则达到了8kB,并且

8路彩灯控制电路设计

《8路彩灯控制电路设 计》 祥设计报告 专业:_______________ 班级:_______________ 姓名: ________________ 学号:_______________ 指导教师:____________

2014年6月25日

1 ?课程设计的目的 2. 课程设计题目描述和要求 3. 电路设计 4. 设计过程中遇到的问题及解决办法 5. 结论与体会 附表参考书目

1 ?课程设计目的 1.熟悉仿真软件Multisim ,使用软件经行电路仿真; 2.第握数字电路课程学习的常见芯片的功能,熟悉其工作原理: 3.了解数字系统设计的基本思想和方法,学会科学的分析问题、解决问题; 4.增强学生动手能力,增加学生理论和实践结合的机会。 2. 课程设计题目描述和要求 设计题目 八路彩灯控制电路设计,即设计并制作8路彩灯控制电路,用以控制8个 LED按照不同的花色闪烁 设计要求 1. 接通电源,电路开始工作,LED灯闪烁: 2. LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式 3. 电路设计 3. 1闪烁花型设计 花型I :8路彩灯分成两半从右到左依次点亮,全亮后再从右到左依次熄灭。花型1【:8路彩灯由中间到两讪对称的依次点亮,余亮后仍由中间到两劝对称熄灭。 花型1【1: 8路彩灯分成两半从左到右依次点亮,全亮后再从左到右依次熄灭。 花型IV: 8路彩灯由两边到中间对称的依次点亮,全亮后仍由两边到中间对称熄灭。 花型状态编码表如表3. 1. 1所示。 每种花型连续循环两次,四种花型轮流交替,为了更好的显示结果本文用指示灯模拟彩灯。 表3. 1. 1 8路彩灯输出状态编码表

数电课程设计-四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级:110422 学号:姓名:陈粤龙 评分:教师: 20 13 年9 月23 日 数字电路课程设计任务书20 12 -20 13 学年第 1 学期第19 周-20周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换。 进度安排 1.?布置任务、查阅资料、选择方案、领仪器设备:2天 2.?仿真、画PCB线路图:2天 3.?领元器件、制作、焊接:3天 4.?调试:2天 5.?验收:1天 6.?提交报告:2013-2014学年第一学期?2-3周 学生姓名:陈粤龙

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。 前 言 彩灯控制器有着非常广泛的运用,如:LED 彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一 指导时间:2周 指导地点: E610 任务下达 2013年 9月 22日 任务完成 2013 年 9 月 25日 考核方式 1.评阅 □ 2.答辩 □ 3.实际操作□ 4.其它 □ 指导教师 系(部)主任 摘 要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D 触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D 触发器。当彩灯完成一种花样时,双D 触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲 分频 自动转换 控制器 数据选择器

单片机_彩灯控制器

一、概述 1.1 单片机的发展 1.1.1单片机的概念 单片机是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的计算机系统。 1.1.2单片机的发展 1976年INTEL公司推出了MCS-48单片机,这个时期的单片机才是真正的8位单片微型计算机,并推向市场。它以体积小,功能全,价格低赢得了广泛的应用,为单片机的发展奠定了基础成为单片发展史上重要的里程碑。 在MCS-48的带领下,其后,各大半导体公司相继研制和发展了自己的单片机,象Zilog公司的Z8系列。到了80年代初,单片机已发展到了高性能阶段,象INTEL公司的MCS-51系列,Motorola公司的6801和6802系列,Rokwell 公司的6501及6502系列等等,此外,日本的著名电气公司NEC和HITACHI都相继开发了具有自己特色的专用单片机。 80年代,世界各大公司均竞相研制出品种多功能强的单片机,约有几十个系列,300多个品种,此时的单片机均属于真正的单片化,大多集成了CPU、RAM、ROM、数目繁多的I/O接口、多种中断系统,甚至还有一些带A/D转换器的单片机,功能越来越强大,RAM和ROM的容量也越来越大,寻址空间甚至可达64kB,可以说,单片机发展到了一个全新阶段,应用领域更广泛,许多家用电器均走向利用单片机控制的智能化发展道路。 1982年以后,16位单片机问世,代表产品是INTEL公司的MCS-96系列,16位单片机比起8位机,数据宽度增加了一倍,实时处理能力更强,主频更高,集成度达到了12万只晶体管,RAM增加到了232字节,ROM则达到了8kB,

八路彩灯课程设计八路彩灯控制器的设计

课程设计报告 课程: 数字电路 题目:八路彩灯控制器的设计 院系: 物理与电子信息学院 专业: 2011级电子信息科学与技术 学号: 20111302031 姓名: 李俊 完成日期: 2008 年 6 月 22 日

摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。关键词:控制、循环、555定时电路 一、设计内容与设计要求 1.设计目的 ⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 ⑵掌握计数、译码、显示综合电路的设计与调试方法。 ⑶掌握实际输出电路不同要求的实现方法。 2.设计任务 八路彩示系统,该系统实现以下功能: ⑴八路彩灯从左向右逐次渐亮,间隔为1秒。 ⑵八路彩灯从右向左逐次渐灭,间隔为1秒。 ⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。 3.设计要求 ⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。 ⑵选择元器件型号。 ⑶画出总逻辑图和装配图,并在实验板上组装电路。 ⑷进行电路调试,使其达到设计要求。 ⑸写出总结报告。 4.参考器件 555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。 二、总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。 三、总体设计原理 1.基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和

课程设计报告(彩灯控制器)

西安文理学院机械电子工程系 课程设计报告 专业班级电子信息工程(1)班 课程数字电子技术 题目彩灯控制器 学号 学生姓名 指导教师 2010年 1月

西安文理学院机械电子工程系 课程设计任务书 学生姓名专业班级学号 指导教师职称教授教研室 课程数字电子技术 题目 彩灯控制器 任务与要求 1.掌握NE555定时器的原理及使用方法。 2.学习74LS138译码器的使用方法。 3.掌握CD4040计数器的使用方法。 4.按照设计图连好电路,实现所需功能。 5.攥写课程设计报告 开始日期 2009年12月28日完成日期2010年1月8日 2010年1月8日

目录 设计目的 (1) 设计任务和要求 (1) 总体设计方案 (2) 功能模块设计与分析 (5) 电路的安装与调试 (8) 实验仪器及元器件清单 (11) 心得体会 (10) 附录一系统电路图 (9)

一、设计目的 用CD4040芯片、LS138芯片、NE555芯片完成彩灯控制器。 二、设计要求和任务 通过循序渐进地独立完成数字电路的设计任务,加深对理论知识的理解,有效地提高了动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。重点提高在数字电路应用方面的实践技能,树立严谨的科学作风,培养综合运用理论知识解决实际问题的能力。通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 基本要求: (一).掌握数字逻辑电路分析和设计的基本方法 1.根据设计任务和指标,初选电路; 2.通过调查研究、设计计算,确定电路方案; 3.选测元器件,安装电路,独立进行试验,并通过调试改进方案; 4.分析课程设计结果,写出设计报告。 (二).培养一定自学能力和独立分析问题、解决问题能力 1.学会分析、找出解决问题的方法; 2.对设计中遇到的问题,能独立思考,查阅资料,寻找答案; 3.掌握一些测试电路的基本方法,课程设计中出现一般故障,能通过“分析、观察、判 断、试验、再判断”的基本方法独立解决; 4.能对课程设计结果进行分析和评价。 (三).掌握安装、布线、调试等基本技能 1.掌握常用的仿真软件,并能够利用仿真软件进行一定的电路调试、改进; 2.掌握数字电路布线、调试的基本技巧; 3.巩固常用仪器的正确使用方法。 (四).培养实践能力 通过严格的科学训练和工程设计实践,树立严肃认真、一丝不苟、实事求是的科学作风,并培养团结协作的精神。

彩灯循环显示控制电路设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 彩灯循环显示控制电路设计 初始条件: 74LS160计数器、74HC390计数器、74HC139译码管、脉冲发生器、数码管和必要的门电路,可以选用其他的计数器和集成电路,但必须给出原理说明 要求完成的主要任务: 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列......如此周而复始,不断循环。 设计要求 ①打开电源时,控制器可自动清零。 ②每个数字的一次显示时间基本相等,这个时间在0.5s到2s范围内连续可调。 ③确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 ④用EWB软件或者multisim软件或者Quartus软件完成仿真。 指导教师签名: 2008 年 6月 2日 系主任(或责任教师)签名:年月日

目录 摘要 (1) 1主要任务 (2) 2技术要求 (2) 3基本组成方框图 (2) 4设计方案 (3) 4.1数列循环部分 (3) 4.2数列显示部分 (7) 4.3脉冲信号的产生 (8) 4.4方案的确定 (9) 5单元电路的设计及其原理 (9) 5.1数列循环电路的设计 (9) 5.2序列显示电路的设计 (10) 5.2.1十进制自然序列的显示电路 (10) 5.2.2奇数序列显示电路 (11) 5.2.3偶数序列显示电路 (11) 5.2.4音乐序列显示电路 (12) 5.3脉冲产生电路的设计 (13) 5.4二分频电路的设计 (14) 5.5总电路图的设计 (14) 6仿真结果 (16) 6.1脉冲产生电路的仿真 (16) 6.2二分频电路的仿真 (17) 7测试结果分析 (18) 8体会与心得 (19) 9元件清单 (20) 10参考文献 (21)

彩灯控制器

题目:彩灯控制器 姓名 学号 班级 指导教师 2014 年6 月28日

课程设计任务书

课程设计报告 前言 本次设计是利用数字电子技术,实现对彩灯的遥控,彩灯控制器在我门日常生活中有重要的运用,价格便宜,生产简单,故本次设计具有很好的使用价值 整个电路的设计借助于multisim 仿真软件,在multisim 下设计和进行仿真,得到了预期的结果。 一、系统组成及工作原理 1-1.系统组成框图 把四花样彩灯设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。系统可由三个模块组成。设计框图如图1-1所示: 图 1-1系统组成框图 1-2.工作原理分析 电路工作原理整体思路:由 555构成多谐振荡器,产生的脉冲作为模16和八位移位寄存器的时钟信号,控制着周期;74LS153选择一路工作,双D的输出作为74LS153的信号输入。 从多谐振荡器出来的脉冲信号分为两路:一路作为计数脉冲送到模十六计数器;另一路做为移位时钟脉冲加到移位寄存器。调节多谐振荡器的电阻可以改变振荡频率,即改变彩灯移动的速度,得到不同的动态效果。 多谐振荡器、双D 触发器、数据选择器共同组成一个电子开关。模16的进位输出脉冲经两个双D 触发器构成两位二进制计数器。调节开关电路的CP 脉冲产生电路的电阻,可以改变开关的切换时间用以选择每种花样出现时间的长短。 数据选择器的输出端接移位寄存器的输入端,在时钟脉冲的作用下,数据在移位寄存器的八位并行输出端从Q0到Q7顺序移动。移动的八位控制信号直接控制发光二极管的亮灭,于是出现了八路四花样自动循环切换的流水彩灯。 设计方案

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

多路彩灯控制器课程设计

物理与电气工程学院课程设计报告 多路彩灯控制器 姓名 ** ** 学号 ********* 班级电气工程及其自动化1班 年级 2011级 指导教师李 *** 成绩 日期 2013.4.8

摘要八路循环彩灯控制器整体电路由三部分组成:脉冲发生电路、移位寄存器、控制电路。其中用时钟脉冲来启动电路,使其发出不同的频率产生不一样的脉冲,控制发光二极管,使电路更好的工作。主要采用 74LS194 芯片接成扭环形结构的移位器来实现,最后做到两种花型的彩灯循环控制。 一、实验目的: 根据知识掌握情况和兴趣选择题目,给出功能设计方案,插接、调试电路,完成要求的任务,达到巩固和应用“电子技术基础”和“数字电路与逻辑设计”课程基本理论和方法,初步掌握模拟与数字电路系统设计基本方法的目的。 二、实验要求: 设计一个4路移存型彩灯控制器,彩灯用发光二极管LED模拟,具体要求如下: 1、能演示三种花型,花型自拟。 2、选作:彩灯明暗变换节拍为1.0s和0.5s,两种节拍交替运行。 三、实验元件: 555定时器 1个 74194 2个 74161 2个 7404 2个

电阻150kΩ 1个 电阻4.7KΩ 1个 电阻20Ω 8个 电容4.7uF 1个 电容0.1 uF 1个 四、总体方案的设计: 经过分析问题及初步的整体思考,设计方案如下: 需要实际时钟产生电路,循环控制电路和彩灯左右移,及全亮全灭输出电路。时钟脉冲产生电路由脉冲发生器产生连续的脉冲。循环电路采用74LS161 ,74LS194实现彩灯的循环控制。具体主要通过两片双向移位寄存器74LS194 来实现彩灯电路控制,通过脉冲发生器来产生连续时钟信号的输入,由74LS161 计数器来控制信号的移动方向,实现左移,右移及亮灭的功能。总体电路原理图如下: 五、单元电路的设计:

相关主题
文本预览
相关文档 最新文档