当前位置:文档之家› 基于EDA技术的电子时钟系统设计

基于EDA技术的电子时钟系统设计

基于EDA技术的电子时钟系统设计
基于EDA技术的电子时钟系统设计

基于EDA技术的电子时钟系统设计Electronic clock system design based on EDA technology

(刘石磊0701170124)摘要: 介绍EDA 技术的特点以及设计流程,强调EDA 仿真技术在现代电子系统的重要地位及作用。以MAX+PLUS II为平台,采用自顶向下分层次、模块化的设计方法,设计了一个可以设置初始时间和清零的电子时钟控制电路,从中可体现出数字系统的硬件设计向软件化方向发展的新思路。

关键词: EDA 技术; 设计; AHDL语言

Abstract: This article introduces the characteristics of the EDA technique , its design flow, and emphasizes the important status and actions of EDA simulation technology in the modern Electronic System. In this article ,I adopt the top -down hierarchical, modular design methods to develop a set the time and reset the time by taking MAX+PLUS II development system, through which embodies a new thought of development from digital system S hardware design to software design.

Key words: EDA technique; design; AHDL language

引言

随着电子设计自动化(EDA)的发展,电子系统的设计技术和设计工具发生了深刻的变化。利用硬件描述语言对数字系统的硬件电路进行描述是EDA 的关键技术之一。AHDL语言是目前主流的硬件描述语言

之一, 它具有很强的电路描述和建模能力,且有与具体硬件电路无关和与设计平台无关的特性,在语言易读性和层次化结构设计方面表现出强大的生命力和应用潜力。

1 EDA 技术

EDA(电子线路设计座自动化)是以计算机为工作平台、以硬件描述语言(AHDL)为设计语言、以可编程器件(CPLD/FPGA)为实验载体、以ASIC/SOC 芯片为目标器件、进行必要的元件建模和系统仿真的电子产品自动化设计过程。EDA源于计算机辅助设计,计算机辅助制造、计算机辅助测试和计算机辅助工程。利用EDA 工具,电子设计师从概念、算法、协议开始设计电子系统,从电路设计,性能分析直到PCB 版图生成的全过程均可在计算机上自动完成。EDA 代表了当今电子设计技术的最新发展方向,其基本特征是设计人员以计算机为工具,按照自顶向下的设计方法,对整个系统进行方案设计和功能划分, 由硬件描述语言完成系统行为级设计,利用先进的开发工具自动完成逻辑编译、化简、分割、综合、优化、布局布线、仿真及特定目标芯片的适配编译和编程下载,这被称为数字逻辑电路的高层次设计方法。

2 EDA 技术的主要特征

作为现代电子系统设计的主导技术,EDA 具有几个明显特征:

1.用软件设计的方法来设计硬件

硬件系统的转换是由有关的开发软件自动完成的, 设计输入可以是原理图或AHDL 语言,通过软件设计方式的测试,实现对特定功能硬件

电路的设计,而硬件设计的修改工作也如同修改软件程序一样快捷方

便, 设计的整个过程几乎不涉及任何硬件,可操作性、产品互换性强。

2.基于芯片的设计方法

EDA 设计方法又称为基于芯片的设计方法,集成化程度更高,可实现片上系统集成,进行更加复杂的电路芯片化设计和专用集成电路设计,使产品体积小、功耗低、可靠性高;可在系统编程或现场编程,使器件编程、重构、修改简单便利,可实现在线升级;可进行各种仿真,开发周期短,设计成本低,设计灵活性高。

3.自动化程度高

EDA 技术根据设计输入文件, 将电子产品从电路功能仿真、性能分析、优化设计到结果测试的全过程在计算机上自动处理完成,自动生成目标系统,使设计人员不必学习许多深入的专业知识,也可免除许多推导运算即可获得优化的设计成果,设计自动化程度高,减轻了设计人员的工作量,开发效率高。

4.自动进行产品直面设计

EDA 技术根据设计输入文件(HDL 或电路原理图),自动地进行逻辑编译、化简、综合、仿真、优化、布局、布线、适配以及下载编程以生成目标系统,即将电子产品从电路功能仿真、性能分析、优化设计到结果测试的全过程在计算机上自动处理完成。

3 EDA 技术的设计流程

EDA 技术是将传统的“电路设计——硬件搭试———调试焊接”模式变为“功能设计———软件模拟———编程下载”方式,设计人员只需一台微机和相应的开发工具即可研制出各种功能电路。EDA 技

术将电子产品设计从软件编译、逻辑化简、逻辑综合、仿真优化、布局布线、逻辑适配、逻辑影射、编程下载、生成目标系统的全过程在计算机及其开发平台上自动处理完成。具体流程如下所示:

设计目标◆输入◆逻辑编译◆综合◆器件适配◆功能仿真◆编程下载◆目标系统

4 电子系统设计与仿真

下面以Alter 公司的可编程器件的开发工具MAX+PLUS II为平台,采用层次化设计方法,设计一个带设置初始时间和清零的时钟控制电路,走时显示原理图见图1,校时清零原理图见图2。

图1.走时显示原理图

图2.校时清零原理图

4.1各功能模块的设计

(1)分频电路的设计

74162是模十的计数器,可对信号进行十分频,信号从74162的时钟端输入,从74162的进位端输出的信号就是输入信号的经十分频后的信号,因此N个74162按上述方式级联即实现了对输入信号的10N的分频。由此制成一千分频和一百分频电路。

因此1MHz的信号经过1000000分频得到1Hz的信号,1MHz的

信号经过100000分频得到1Hz的信号,1MHz的信号经过1000分频得到100Hz的信号,因此分频电路图3所示。

图3 分频电路原理图

分频电路完成电路对高频信号的分频,应作为一个功能化模块进行封装,它实现了将1MHz的信号,转换为1Hz和100Hz的信号的功能,封装图如图4所示。

图4 分频电路封装图

(2)秒位计时电路的设计

实验板上的晶振发出1MHz的信号,此信号经1000000分频得到1Hz的信号,这个信号可以触发秒的个位计数,秒的个位可用一个74162计数器来计时。秒个位计数器的进位输出信号可以触发秒十位计数,秒的十位应该是一个六进制计数器,用AHDL语言直接编写制成模六的计数器。其程序如下:

SUBDESIGN ll_6jishuqi

(

load,d[3..0],ent,enp,clrn,clk : INPUT ;

q[3..0],co : OUTPUT;

)

VARIABLE

cou[3..0]:dff;

BEGIN

cou[].clk=clk;

IF clrn==0 THEN

cou[]=0;

ELSIF load==0 THEN

cou[]=d[];

ELSIF ent&enp THEN

if cou[]==5 then

cou[]=0;

else

cou[]=cou[]+1;

end if;

ELSE

cou[]=cou[];

END IF;

q[]=cou[];

co=q3&q0&ent;

END;

封装后如图5所示。

图5 六进制计数器原理图

(3)分位计时电路的设计

分个位的计数器由74162组成,它由秒十位的进位信号触发计数,

而分十位也是由六进制计数器构成的,原理同上述秒十位计数器,它由秒个位计数器的进位信号触发计数,秒十位计数器本身的进位信号触发时个位计数器计数,原理图和级联方式与上述秒位计时电路类似,这里不再赘述。

(4)时位计时电路的设计

时个位的计数器由74162组成,它由分十位的进位信号触发计数,原理图和级联方式与上述秒位计时电路类似,这里不再赘述。(5)计时电路的整体设计

计时电路由秒计时,分计时和实际是电路按上述方法级联起来,实现电子钟的走时计数功能,计数器所计的数值经过译码显示电路,驱动LED数码管显示正常的数字,它的输入信号为1Hz的信号,输出为各位上数值的BCD码。清零电路也集成在这里,电路图如图6所示。

(6)LED动态扫描显示电路设计

①显示驱动电路设计

图7 显示译码电路原理

如上图所示,可将BCD码转换为数字显示码,有四个输入引脚,此七个输出引脚分别对应到七段译码器的a,b,c,d,e,f,g这七段LED,实验班用的LED是共阴极的,因此七段译码器的真值表如下图所示,使用AHDL语言进行设计,用真值表语句设计七段显示

译码驱动电路,编译,查错,链接,用波形仿真,分别输入0—9的

BCD码,观察对应的输出是否驱动相应的码段发光,逐个分析没位数字是否正确,若全部正确,则创建七段显示译码驱动电路的符号文件:产生lulu_7seg_encode文件,以便在设计总体电路时,直接插入七段译码电路。将上述每一位计数器输出的四位BCD码接到七段译码器的输入端,七段译码器的输出即可驱动七段数码显示管正常工作。

七段译码程序如下所示:

SUBDESIGN lulu_7seg_encode

( D[3..0]: INPUT;

S[6..0]: OUTPUT; )

BEGIN

TABLE

D[3..0]=>S0,S1,S2,S3,S4,S5,S6;

H"0"=>1,1,1,1,1,1,0;

H"1"=>0,1,1,0,0,0,0;

H"2"=>1,1,0,1,1,0,1;

H"3"=>1,1,1,1,0,0,1;

H"4"=>0,1,1,0,0,1,1;

H"5"=>1,0,1,1,0,1,1;

H"6"=>1,0,1,1,1,1,1;

H"7"=>1,1,1,0,0,0,0;

H"8"=>1,1,1,1,1,1,1;

H"9"=>1,1,1,1,0,1,1;

END TABLE;

END;

封装后如图8所示。

图8 显示译码电路封装图

②动态循环选通电路设计

模五的计数器由高频时钟信号驱动计数,高速循环输出“0”“1”“2”“3”“4”这五个地址信号,这计数器的输出信号作为自行设计的三线八线译码器的地址信号输入,每一个地址信号选通一个输出端口,使它输出一个高电平的脉冲,用这个高电平脉冲和与门,可同时选通相应位的LED和同一位的七段译码器的输出信号,在同一时刻,其他位的LED以及七段译码器的输出是处于屏蔽状态的,所以在某一时刻,其实只有一个LED数码管在发光,由于由于LED闪烁的频率远高人眼能分辨的频率,所以人眼看上去是所有位的LED同时在显示。

模五计数器可用AHDL语言编写得到,由于与模六相同,这里不再赘述。模五的计数器的时钟端接1MHz的信号,充分提高各位LED数码管显示的闪烁频率,实验证明也可以提高每位LED灯的亮度,使能端ENT可在芯片扩展时使用,方便在以后编别的程序时使用。将模5计数器的各位预置初值输入端都接地,置数端以后可以作

为本芯片清零的输入端,在本芯这样连接,若用一个输入端接到清零端了,会出错。

三线八线译码器,将A2A1A0看做一个三位二进制数,它可表示某一位十进制数,这一位端口就输出高电平。通过下面原理图的非门以及四输入与门即可实现上述功能,完成了二进制地址译码选通的功能。

图9 三线八线译码器原理图

利用上述三线八线译码器的“Y0”到“Y4”输出端,和与门、或门可实现在某一时刻只有一个数码LED在发光,而其他四个LED 都处于关断状态,模五计数器高速循环输出“0”“1”“2”“3”“4”,因此“Y0”到“Y4”高速循环输出高电平脉冲,在三线八线译码器一位输出高电平脉冲时,其余四个都是低电平输出,这就实现了五个

数码管动态循环扫描输出的功能。

将上述两个电路级联,就得到了动态扫描电路,动态扫描电路高速循环导通每位数码LED,同时选通驱动该为LED显示数码的七段译码器的输出信号,动态扫描电路原理图如图10所示。

图10 动态扫描原理图及其封装图

(7)七段译码器输出选通电路设计

用上述动态扫描电路的五个输出信号作为选通信号,这五个输出信号分别选通五个七段译码器的输出信号,即用一个动态扫描电路的

输出端与对应七段译码器的七个输出分别相与,五个七段译码器相同位输出在经过一个五输入或门相或,这就保证了在某一时刻只有一个七段译码器输出是被选通的,而在这一时刻这个七段译码器所驱动的LED数码管通过动态扫描电路原理也被选通,封装图如图11所示。

图11 动态扫描电路封装图

(8)校时电路设计

通过一个开关的两种电平,来打开个关闭一个二输入与非门,另一个输入端接1Hz的信号。因此这个开关实现了1Hz信号是否加入电路的作用,开关打在高电平上,1Hz信号通过与非门,开关打在低电平上,与非门输出为高电平。把与非门的输出信号,和时钟正常走时所需要的时钟信号,一起通过与门,加给分个位时钟信号的输入端即可,这样分校时开关打在高电平上,分个位以1Hz的频率跳动起来,分校时开关打在低电平上时,正常走时。同理小时校准与此相同。(9)清零电路设计

清零电路设计的关键就是要解决好同步问题,在发出清零信号时,所有计数器的时钟信号是一致的,而且处于有效的边沿。为此可

以设计当清零信号有效时,很高频率的信号同时加入个计数器的时钟端,而当清零信号无效时,各计数器上时钟端加的是正常走时所需要的时钟信号。也可以用与门,或门和非门实现上述的功能。当清零信号为低时,清零信号经过一个非门变为高电平信号,将与门打开,高频信号通过与门,在与秒各位计数器的时钟端上的信号相或,与其他各位计数器时钟输入端上的信号相与。这样,当清零信号有效时,每一位计数器上的时钟输入端实现了同步的功能,实现了同步清零的功能。当清零信号无效时,时钟正常走时。

(10)附加功能原理

本电路出了可以实现正常的时钟功能,还具有整点报时彩灯显示以及秒表的功能,秒表的原理主要就是把输入的频率变为100Hz就可以实现其功能,由于前面叙述的已经很详细,这里就不在赘述。至于整点报时和彩灯显示的AHDL语言如下所示:

SUBDESIGN alert

(

clk,dain[3.0] :INPUT;

speak,lamp[2..0] :OUTPUT;

)

VARIABLE

s: DFF; %触发器变量声明%

ss: MACHINE OF BITS (lamp[2..0])

WITH STATES( %lamp 状态设定有四个状态%

s0=B"000",

s1=B"001",

s2=B"010",

s3=B"100");

BEGIN

ss.clk=clk; %状态机的时钟设定%

IF(dain[]==0) THEN

s.clk=clk;

s.d=!s.q; %当整点时扬声器出现频率为2hz 的嘀嘀声% speak=s.q;

CASE ss IS

WHEN s0 =>

ss=s1;

WHEN s1 =>

ss=s2; %当整点时LED 灯以四个状态轮流闪烁% WHEN s2 =>

ss=s3;

WHEN s3 =>

ss=s1;

WHEN OTHERS =>

ss=s0;

END CASE;

ELSE

ss=s0; %非整点时停止报时%

speak=GND;

END IF;

END;

4.2 各功能化模块的级联

(1)理论分析

将上述个部分用导线连接,即完成了各个功能模块的连接实现了电路的整体功能,由此以下电路即实现正常走时,全部清零,秒分时位的校时功能。电路有一个时钟输入端,接实验板的晶振振荡电路输出的频率信号,一个开关用于全部清零,其他三个开关分别用于秒分时位的校时功能,五个输出用于控制五个LED数码管的通与断,七个输出用于显示当前导通LED上的数码,电路总体连接见附图2。(2)实验过程

(一)根据上述原理用AHDL语言设计并软件仿真七段译码显示驱动,保存,检查,排错创建电路包含文件。(二)根据原理图用软件设计每一位的计时电路,分频电路,动态扫面显示电路,每一位的校时电路和清零电路,并用软件进行波形仿真。(三)用导线将上述功能电路连接。(四)软件编辑:(1)保存文件:保存为kechengsheji.gdf。(2)指定项目与文件同名lsl_dianzizhong.gdf。(3)指定设计器件:MAX7000S系列的EPM7128SLC84-6。(4)引入相关的芯片、输入输出脚并命名,连线,具体如图15。(5)保存并检查除错。

(7)保存并编译:产生要烧写的文件lsl_dianzizhong.pof。(8)创建电路符号文件:产生lsl_dianzizhong.sys。(9)创建电路包含文件lsl_dianzizhong.inc。(五)用软件Pof2Jed将lsl_dianzizhong.pof转换为lsl_dianzizhong.jed;(六)用ISP将lsl_dianzizhong.jed烧录到实验板芯片上。(七)通电检查实验结果。

(3)实验现象

当清零开关打在高电平,而且秒分时为校时开关均打在低电平上时,电路正常走时;当清零开关打在低电平上时,五个LED数码显示管时钟显示为零;而当清零开关打在高电平上时,其他位校时开关拨道高电平,这一位数码显示管上的数字就比正常走时快速跳动,直到跳到需要的时间,将校时开关拨至低电平,这就实现了校时功能。

5 结束语

电子系统的设计输入可以用原理图、波形、AHDL 语言等方式输入,下载配置前的整个过程几乎不涉及到整个硬件,而硬件设计的修改也如同修改软件程序样快捷方便,即通过软件方式的设计与测试,达到对特定功能的硬件电路的设计实现,这种现代电子系统设计技术采用自顶向下分层次、模块化设计方法,先化整为零,再优化综合,灵活通用.已成为研制、开发数字系统最理想的选扦,是现代电子电路设计方法的一个趋势,体现了硬件设计向软件化方向发展的新思路。创新点:本文通过对EDA 的特点流程设计以及其重要性的介绍,指出这种现代电子系统设计技术采用自顶向下分层次、模块化设计方法,先化整为零,再优化综合,灵活通用.已成为研制、开发数字系统最理想

的选扦,是现代电子电路设计方法的一个趋势,体现了硬件设计向软件化方向发展的新思路。并自行设计了一个带整点报时和星期计数器的电子时钟控制电路。用来说明硬件设计向软件化方向发展的新思路。

参考文献

[1] 牛国柱.EDA课程设计指导.南京:南理工翻印,2009.

[2] 寇戈,蒋立平.模拟电路与数字电路.北京:电子工业出版社,2005.

[3]潘松, 黄继业编著. E D A 技术实用教程[ M ] .科学出版社,2002.

[4]曾繁泰,李冰,李晓林.EDA 工程概论[M].北京,清华大学出版

社,2002.

[5]曹瑞,基于E DA 技术进行数字电路设计的研究[J]微计算机信

息2007,7-2:273-275

[6]符兴昌,E DA 技术在数字系统设计分析中的应用[J]微计算机

信息2006,5-2:268-269

史上最全的多功能数字电子钟 EDA技术课程设计报告 Verilog HDL语言实现

EDA技术课程设计报告多功能数字电子钟 (Verilog HDL语言实现) 专业:********** 班级:(1)班 姓名:叶荆风 学号:******* 制作时间:2012年11月1日

目录 前言 (2) 一、设计要求 (3) 1、基本要求 (3) 2、操纵需求 (3) 二、设计方案 (3) 1、层次化设计 (3) 2、系统示意图 (3) 三、设计过程 (4) 1、小时计时模块 (4) 2、分钟计时模块 (16) 3、秒计时模块 (18) 4、校时校分模块 (19) 5、正点报时模块 (20) 6、时段控制-路灯亮灭模块 (21) 7、分频模块 (22) 8、译码模块 (22) 9、〝秒〞〝分〞〝小时〞计时单元功能电路模块 (23) 10、不加分频、译码模块的顶层文件 (24) 11、完整的数字钟总接线图 (25) 四、联机操作 (25) 1、选定芯片系列 (26) 2、分配引脚 (26) 3、编译 (27) 4、下载 (27) 5、DE2开发板上的一些具体设置 (28) 五、课设心得 (29) 六、参考文献 (29) Verilog HDL仿真源文件下载地址:https://www.doczj.com/doc/aa18867170.html,/zhuye-47753-yiyejingfeng.aspx

前言 数字电子钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字电子钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。从有利于学习的角度考虑,这里主要介绍以中小规模集成电路设计数字钟的方法。经过了数字电路设计这门课程的系统学习,特别经过了关于组合逻辑电路与时序逻辑电路部分的学习,我们已经具备了设计小规模集成电路的能力,借由本次设计的机会,充分将所学的知识运用到实际中去。 本次课程设计要求设计一个数字钟,基本要求为数字钟的时间周期为24小时,数字钟显示时、分、秒,数字钟的时间基准一秒对应现实生活中的时钟的一秒。供扩展的方面涉及到校时校分、时段控制、整点报时等。因此,研究数字电子钟及扩大其应用,有着非常现实的意义。

eda数字电子钟

唐山学院 《EDA技术》课程设计 题目数字电子钟设计 系 (部) 智能与信息工程学院 班级 13电本1班 姓名马建雨 学号 4130208144 指导教师郭耀华、王默琦、戴彦 2016 年 7 月 4 日至 7 月 8 日共 1 周

2016年 7 月8日 目录 1 引言 (1) 2 EDA技术简介 (2) 2.1 EDA技术的基本特征 (2) 2.2 硬件描述语言 (2) 3 QuartusII软件简介 (4) 3.1软件介绍 (4) 3.2 QuartusII工作环境介绍 (5) 4 课程设计说明 (8) 4.1设计容 (8) 4.2设计要求 (8) 4.3设计目的 (8) 4.4设计思路 (8) 4.5 设计具体方案及实现 (9) 4.5.1秒、分、时计时模块 (9) 4.5.2 动态显示模块 (11) 4.5.3 整点报时模块 (13) 4.5.4 校时模块 (14) 4.6 总程序 (16) 5 总结 (18) 参考文献 (19)

1 引言 随着大规模集成电路技术和计算机技术的不断发展,在涉及通信、国防、航天、医学、工业自动化、计算机应用、仪器仪表等领域的电子系统设计工作中,EDA技术的含量正以惊人的速度上升;电子类的高新技术项目的开发也愈益依赖于EDA技术的应用。即使是普通的电子技术的开发,EDA技术常常使一些原来的技术瓶颈得以轻松突破,从而使产品的开发周期大为缩短、、性能价格比大幅提高。不言而喻,EDA技术将迅速成为电子设计领域中的极其重要的组成部分。 EDA技术的设计语言为VHDL(硬件描述语言),实验载体为可编程器件CPLD 或者FPGA,进行元件模拟和仿真的目标器件为ASIC/SOC芯片。它是一种自动化设计电子产品的过程。在电子设计仿真的领域里,EDA技术的出现具有非常重要的现实意义。EDA源自于计算机辅助设计、制造、测试以及辅助工程。利用EDA 工具,设计者们可以从概念、算法、协议等方面来设计电子系统。值得一提的是,在整个电子系统的设计过程中,设计电路、分析性能、布置IC和PCB版图等步骤都可以在电脑上自动完成。 时钟是我们日常生活中必备的生活用品之一。而数字时钟的出现更是给人们的生产生活带来了极大的便利。钟表的数字化给人们生产生活带来了极大的方便,而且大扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 EDA技术为数字类产品提供了一个非常简便实用的开发平台。随着EDA技术的快速发展,数字时钟的应用越来越广泛,并且它在功能外观方面也有了很大的改善和提高。本文就是基于EDA技术的基础知识,利用Quartus2软件再现一个具有传统时钟功能和自动报时功能的数字时钟。 数字钟采用EDA技术设计,利用硬件描述语言VHDL按模块化方式设计、编程及时序仿真等。该数字钟能实现时、分、秒计数的显示功能,且以24小时循环计时,具有清零的功能,且能够对计时系统的小时、分钟进行调整,具有整点报时功能。整个系统包括传统数字时钟所拥有的计时模块、校时模块、译码显示模块以及整点报时模块。整个系统使用方便,功能齐全,精度高。

EDA数字电子钟设计

青海民族大学 EDA论文 论文题目:基于EDA的数字电子钟的实现 指导老师: 院系:物理与电子信息工程学院 姓名: 学号: 班级:08通信工程(1)班 2010年11月25日

摘要 Abstract 第一章:绪论 1.1:选题目的 1.2:设计思路 第二章:数字电子钟小系统 2.1:整体设计方案框架图 2.2:模块程序验证 2.3:总程序框图 第三章:硬件实现与总结 3.1:硬件实现 3.2:总结 致谢 参考文献

EDA技术[1]的设计语言为VHDL(硬件描述语言),实验载体为可编程器件CPLD或者FPGA,进行元件建模和仿真的目标器件为ASIC/SOC芯片。它是一种自动化设计电子产品的过程。在电子设计仿真的领域里,EDA技术的出现具有非常重要的现实意义。EDA 源自于计算机辅助设计、制造、测试以及辅助工程。利用EDA工具,设计者们可以从概念、算法、协议等方面来设计电子系统。值得一提的是,在整个电子系统的设计过程中,设计电路、分析性能、布置IC和PCB版图等步骤都可以在电脑上自动完成。 时钟我们的日常生活中必备的生活用品之一。而数字时钟的出现更是给人们的生产生活带来了极大的便利。EDA技术为数字类产品提供了一个非常简便实用的开发平台。随着EDA技术的快速发展,数字时钟的应用的范围越来越广泛,并且它在功能、外观等方面也有了很大的改善和提高。本文就是基于EDA技术和数字电路的基础知识,利用Quartus2软件、再现一个传统时钟功能和闹铃功能的数字时钟。整个小系统包括传统数字时钟所拥有的计时模块、校时模块、译码显示模块。 关键词:EDA;数字时钟;模块;闹钟 Abstract EDA technology design language for VHDL (hardware description language), experimental carrier for programmable devices, components or FPGA CPLD device modeling and simulation of target for ASIC/SOC chip. It is a kind of automation design electronic product process. In the electronic design simulation field, EDA technique appears has very important practical significance. EDA originated in computer aided design, manufacture, test and the auxiliary projects. Using EDA tools, designers can from concept, algorithm, agreement, etc to design electronic systems. Be worth what carry is, in the whole electronic system design process, the circuit design, analyzing performance, decorate IC and PCB layout steps can be on the computer automatically. Clock is one of the necessaries in our daily life. And digital clock has brought about lots of convenience. EDA technology provides a simpler and more useful platform to make a study of digital products. With the development of EDA technology, digital clock has improved a lot in its designing process, functions, appearance and so on. Nowadays, this paper is based on EDA technique and digital circuit, by using the basic knowledge of Quartus2 software, reproduce a traditional clock function and alarm function of digital clock. The system includes traditional digital clocks have timer modules, alarm clock module, decoding display module. Keywords: EDA; digital clock; modules; alarm

用VHDL语言实现数字电子钟的设计(EDA课程设计报告 含源程序)

课程设计报告 设计题目:用VHDL语言实现数字钟的设计 班级:电子 0901 学号: XXXXXXXX 姓名:XXXXXXXXX 指导教师:XXXXXXXXX 设计时间:2011年12月

现代电子设计技术的核心已转向基于计算机的电子设计自动化技术,即EDA (Electronic Design Automation)技术。EDA技术就是依赖计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。硬件描述语言是EDA技术的重要组成部分,常见的HDL语言有VHDL、V erilog、HDL、ABLE、AHDL、System V erilog和System C。其中VHDL、V erilog在现在的EDA设计中使用最多,也拥有几乎所有主流EDA工具的支持。VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化硬件设计任务,提高了设计效率和可靠性。在这次设计中,主要使用VHDL语言输入。此次设计很好地完成了数字钟的定时、切换显示年月日和时分秒的功能,完成了小型FPGA的设计开发,锻炼了动手实践能力,达到了课程设计的目的。 关键词:EDA技术硬件描述语言VHDL 设计数字电子钟

摘要 (2) 1、课程设计目的 (4) 2、课程设计内容及要求 (4) 2.1 设计内容 (4) 2.2 设计要求 (4) 3、VHDL程序设计 (5) 3.1 方案论证 (5) 3.2 设计思路与方法 (6) 3.2.1 设计思路 (6) 3.2.2 设计方法 (7) 4、仿真与分析 (7) 5、器件编程下载及设计结果 (9) 6、课程设计总结 (10) 7、参考文献 (10) 8、程序清单 (11) 8.1 顶层模块 (11) 8.2 秒脉冲模块 (13) 8.3 数码管显示模块 (14) 8.4 时分秒模块 (15) 8.4.1 分秒模块 (16) 8.4.2 小时模块 (18) 8.5 年月日模块 (19) 8.5.1 日期模块 (21) 8.5.2 月份模块 (24) 8.5.3 年份模块 (25)

数字日历电路设计EDA实训

成绩 批阅教师 日期 桂林电子科技大学 实训报告 2016-2017学年第1学期 学院海洋信息工程学院 课程EDA综合实训 姓名钟朝林

学号 1416030218 指导老师覃琴 日期2016/12/29 实训题目:数字日历电路的设计 1 概述 1.1 设计要求 1.1.1 设计任务 设计并制作一台数字日历。 1.1.2 性能指标要求 ①用EDA实训仪的I/O设备和PLD芯片实现数字日历的设计。 ②数字日历能够显示年、月、日、时、分和秒。 ③用EDA实训仪上的8只八段数码管分两屏分别显示年、月、日和时、分、秒,即在一定时间段内显示年、月、日(如20080101),然后在另一时间段内显示时、分、秒(如00123625),两个时间段能自动倒换。 ④数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时,依此类推。 1.2 总体设计基本原理及框图 1.2.1 基本原理 日历主要由年月日模块、时分秒模块、控制模块、显示模块、校时模块组成。采 用3个公用按钮j1、j2、和j3完成时分秒或年月日的校时,用8只七段数码管分 时完成时分秒或年月日的显示。设计电路的计时器模块(jsq24)用于完成一天 的24小时计时;年月日模块接收计时器模块送来的“天”脉冲进行计数,得到 日月年的显示结果,控制模块产生控制信号k,控制数码显示器显示年月日,还 是时分秒,或者自动轮流显示;校时选择模块在k信号的控制下,选择将j1、j2 和j3这3个校时按钮产生的信号是送到计时器模块的校秒、校分和校时输入端, 还是送到年月日模块的校天、校月、校年输入端;显示选择模块在k信号的控制 下,选择是将计时器模块的时、分、秒状态信号,还是将年月日模块的年、月、 日状态信号送到数码管显示器显示。 1.2.2 总体框图

基于EDA技术的数字时钟设计

电子系统课程设计任务书 设计题目:基于EDA技术的数字时钟设计 设计目的:课程设计是一种复杂的学习实践过程。设计过程采用系统设计的方法,先分析任务,得到系统设计的要求,然后进行总体设计,划分子系统模块,然后进行详细设计,编写各个功能子系统VHDL代码并进行功能仿真,最后进行整个系统总装并仿真。 设计内容:设计一个采用0.5英寸LED数码管显示的数字时钟系统,工作电源5V,它采用24小时制,具有“时”、“分”、“秒”显示,并且可以校正时间显示。 设计要求: 1.由石英晶体多谐振荡器20MHz和分频器产生1Hz标准秒脉冲;(说 明:EDA试验箱中晶振频率20MHz,经试验箱内一系列二分频可将频率降低,但无法直接产生1Hz信号,需要大家根据实际情况编制分频器得到1Hz信号); 2.秒电路、分电路均为60进制计数,时电路为24进制计数; 3.数码管采用动态扫描方式; 4.能动手校时,校时模块功能可以自由发挥。比如可用两个按钮实 现校时,A按钮控制调整项目,B按钮调整数字,B按钮还可以根据按下时间长短实现慢调、快调功能。也可以用三个按钮实现增减两个方向的手动校时。校时用按钮开关不能超过4个; 5.扩展内容:1)进入校时状态后,被调整数字以2Hz闪烁; 2)24/12小时可调,处于12小时制时,要有AM/PM

显示; 3)所有开关加入防抖设计; 4)加入检测外部环境亮度功能,夜间自动降低数码管显示亮度; 5)加入整点报时电路; 6)增加秒表功能; 7)增加报闹功能。 6.以上电路功能除外部环境亮度检测电路外均由VHDL代码实现层次式设计,顶层电路可以采用EDA电路图。 设计成果: 1.课程设计说明书,要求内容完整,图表完备,条理清楚,字迹工 整,程序完整有相应的注解,仿真波形设计合理有必要的分析,引用资料要注明出处。 2.顶层电路原理图,各层电路VHDL代码及仿真波形。

eda数字时钟实验报告

EDA数字时钟电工电子实习 实验报告 姓名 班级 学号20

一、实验目的: 1、掌握多位计数器相连的设计方法。 2、掌握十进制、六十进制和二十四进制计数器的设计方法。 3、巩固数码管的驱动原理及编程方法。 4、掌握CPLD技术的层次化设计方法。 二、实验要求: 基本要求:具有时、分、秒计数显示功能,以二十四小时循环计时。 扩展要求:具有整点报时功能。 三、实验原理: 计数时钟由模60秒计数器、模60分计数器、模24小时计数器、蜂鸣器(用于整点报时)、分/时设定模块、输出显示模块构成,秒计数模块的进位输出为分钟计数模块的进位输入,分钟计数模块的进位输出为小时计数模块的进位输入。 74163功能简介:

图1 图2 由图1可知,74163的脉冲上升沿的时候工作。 四、实验过程

1.模60计数器(如图3) 图3 由74163实现计数功能,第一片74163实现10进制,即做0-9的循环,9即二进制的1001,化简可得当q[0]与q[3]同时为1的时候进行清零。第二片74163实现6进制,即做0-5的循环,5即二进制的111,化简可得当q[4]与q[6]同时为1的时候进行清零,同时第一片74163的进位端作为第二片的脉冲端。这样就可实现60进制。60进制计数器用于秒计数器和分计数器,秒个位的进位端作为秒十位的脉冲端秒十位的进位端作为分个位的脉冲端,分个位的进位端作为分十位的脉冲端。 2.模24计数器(如图4) 图4 分十位的进位端作为时个位的脉冲端,时个位的进位端作为时十位的脉冲端。因为24进制的特殊性,当十位是0和1的时候,个位做十进制循环,即0-9,9的二进制为1001;当十位是2的时候,个位做0-3的循环。而十位做0-2的循环。2的二进制为0010,3的二进制为0011。所以第一片74163不仅要在q[14]与q[17]同时为1的时候清零,还要在第二片74163的q[19]、第一片的q[14]、q[15]同时为1(即23时)做清零。第二片是3进制,在q[19]=1的时候进行清零。

EDA数字钟程序代码

--分频器 library ieee; use ieee.std_logic_1164.all; entity fenpin is port(clk:in std_logic; qH: buffer std_logic; qout:buffer std_logic); end entity; architecture c20 of fenpin is begin process(clk) variable num : integer :=1; variable num1 : integer :=1 begin if clk'event and clk='0' then if(num=2)--0000000) --1HZ--10000000 to timer then num:=1;qout<=not qout; else num:=num+1; end if; if(num1=1)--000000) --1000HZ--10000 to wei then num1:=1;qH<=not qH; else num1:=num1+1; end if; end if; end process; end c20; --timer library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity Clock3 is port(clk:in std_logic; duan: out std_logic_vector(3 downto 0); wei: in std_logic_vector(2 downto 0); wei2: out std_logic_vector(2 downto 0) ); end entity; architecture clo of Clock3 is signal clk2:std_logic ; signal keys: std_logic_vector(2 downto 0); begin

EDA数字时钟

设计报告 课程名称在系统编程技术任课教师周泽华 设计题目EDA数字钟设计班级09自动化1班姓名王冰 学号0905071010 日期2012/6/4

前言 随着基于PLD的EDA技术的发展和应用领域的扩大和深入,EDA技术在电子信息、通信、自动控制及计算机应用领域的重要性日益提高。 作为现在的大学生应熟练掌握这门技术,为以后的发展打下良好的基础,本实验设计是应用QuartusII环境及VHDL语言设计一个时间可调的数字时钟。使自己熟练使用QuartusII环境来进行设计,掌握VHDL语言的设计方法。要注重理论与实践之间的不同,培养自己的实践能力!

目录 一、课程设计任务及要求 (3) 1.1实验目的 (3) 1.2功能设计 (3) 二、整体设计思想 (3) 2.1性能指标及功能设计 (3) 2.2总体方框图 (4) 三、详细设计 (4) 3.1数字钟的基本工作原理: (4) 3.1.1时基T 产生电路 (4) 3.1.2调时、调分信号的产生 (4) 3.1.3计数显示电路 (5) 3.2设计思路 (5) 3.3设计步骤 (6) 3.3.1工程建立及存盘 (6) 3.3.2工程项目的编译 (7) 3.3.3目标芯片的选择 (8) 3.3.4时序仿真 (9) 3.3.5引脚锁定 (10) 3.3.6硬件测试 (11) 3.3.7实验结果 (12) 四、设计总结 (12) 五、附录 (13) 5.1 VHDL源程序 (13) 5.2电路图 (18) 5.3仿真波形 (18)

一、课程设计任务及要求 1.1实验目的 1)熟练地运用数字系统的设计方法进行数字系统设计; 2)能进行较复杂的数字系统设计; 3)按要求设计一个数字钟。 1.2功能设计 1)有时、分、秒计数显示功能,以24小时循环计时; 2)设置复位、清零等功能; 3)有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 4)时钟计数显示时有LED灯显示; 5)具有整点报时功能。 二、整体设计思想 2.1性能指标及功能设计 1)时、分、秒计时器 时计时器为一个24进制计数器,分、秒计时器均为60进制计数器。当秒计时器接受到一个秒脉冲时,秒计数器开始从1计数到60,此时秒显示器将显示00、01、02、...、59、00;每当秒计数器数到00时,就会产生一个脉冲输出送至分计时器,此时分计数器数值在原有基础上加1,其显示器将显示00、01、02、...、59、00;每当分计数器数到00时,就会产生一个脉冲输出送至时计时器,此时时计数器数值在原有基础上加1,其显示器将显示00、01、02、...、23、00。即当数字钟运行到23点59分59秒时,当秒计时器在接受一个秒脉冲,数字钟将自动显示00点00分00秒。 2)校时电路 当开关拨至校时档时,电子钟秒计时工作,通过时、分校时开关分别对时、分进行校对,开关每按1次,与开关对应的时或分计数器加1,当调至需要的时与分时,拨动reset开关,电子钟从设置的时间开始往后计时。

EDA课程设计报告(数字电子时钟)

EDA课程设计报告 设计题目:数字时钟的设计 班级:电气工程及其自动化 姓名: 学号: 日期:2014年6月15日

目录 摘要 一、课程设计任务及要求 (3) 1.1实验目的 (3) 1.2功能设计 (4) 二、整体设计思想 (4) 2.1性能指标及功能设计 (4) 2.2总体方框图 (4) 三、详细设计........................................................................................................ 错误!未定义书签。 3.1数字时钟的结构:............................................................ 错误!未定义书签。 3.2控制模块的结构 (5) 3.3.1按键处理模块 (6) 3.3.2定时时钟模块 (6) 3.3.3扫描时钟模块 (6) 3.3.4定时计数模块 (6) 3.3.5显示控制模块 (7) 四、主程序 (7) 五、实验步骤 (14) 5.1工程建立及存盘14 5.2时序仿真14 5.3引脚锁定14 5.4硬件测试15 5.5实验结果15 结束语15参考文献16

EDA技术实现的数字电子时钟设计 作者:指导老师: 摘要 EDA技术在硬件实现方面融合了大规模集成电路制造技术,IC版图设计技术、ASIC测试与封装技术、FPGA /CPLD编程下载技术、自动检测技术等;EDA技术为现代电子理论和设计的表达与实现提供了可能性。在现代技术的所有领域中,纵观许多得以飞速发展的科学技术,多为计算机辅助设计,而非自动化设计。显然,最早进入设计自动化的技术领域之一是电子技术,这就是为什么电子技术始终处于所有科学技术发展最前列的原因之一。不难理解,EDA技术已不是某一学科的分支,或某种新的技能技术,应该是一门综合性学科。它融合多学科于一体,打破了软件和硬件间的壁垒,是计算机的软件技术与硬件实现、设计效率和产品性能合二为一,它代表了电子设计技术合应用激活速的发展方向。 电子时钟以成为人们常生活中数字电子钟一般由振荡器,分频器,译码器,显示器等部分组成。电子时钟的应用非常广泛,应用于人家庭或车站、剧场、办公室等公共场所,给人们的生活,学习,工作,娱乐带来极大的便利,尽管目前市场上以有现成电子时钟集成电路芯片,价格便宜这些都是数字电路中最基本的,应用最广的电路。数字电子钟的基本逻辑功能框图如下:它是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。他的计时装置的周期为24小时,显示满刻度为23时 59分59秒,另外应有校时功能。 关键字:EDA;VHDL语言;电子时钟 一、课程设计任务及要求 1.1实验内容 选用合适的可编程逻辑器件及外围电子元器件。设计一个数字电子钟,利用EDA 软件(QUARTUSⅡ)进行编译及仿真,设计输入可采取VHDL硬件描述语言输入法和原理图输入法,并下载到EDA实验开发系统,连接外围电路,完成实际测试。

EDA课程设计数字秒表

课程设计 题目数字秒表设计 院系信息工程学院 班级 姓名 指导教师

目录 第一章:系统设计要求 (3) 第二章:实验目的 (3) 第三章:实验原理 (3) 第四章:系统设计方案 (3) 第五章:主要VHDL源程序 (4) 1) 十进制计数器的VHDL源程序 (4) 2) 六进制计数器的VHDL源程序 (5) 3)蜂鸣器的VHDL源程序 (5) 4)译码器的VHDL源程序 (6) 5)控制选择器的VHDL源程序 (7) 6)元原件例化的VHDL源程序 (8) 第六章:系统仿真 (10) 第七章:系统扩展思路 (11) 第八章:设计心得总结 (11)

数字秒表的设计 一、系统设计要求 1.秒表共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为BCD码输出,这样便于和显示译码器的连接。当计时达60分钟后,蜂鸣器鸣响10声。 2.整个秒表还需有一个启动信号和一个归零信号,以便秒表能随意停止及启动。 3.秒表的逻辑结构较简单,它主要由显示译码器、分频器、十进制计数器、六进制计数器和报警器组成。在整个秒表中最关键的是如何获得一个精确的100HZ计时脉冲。 二、实验目的 通过本次课设,加深对EDA技术设计的理解,学会用QuartusⅡ工具软件设计基本电路,熟练掌握VHDL语言,为以后工作使用打下坚实的基础。 三、实验原理 秒表由于其计时精确,分辨率高(0.01秒),在各种竞技场所得到了广泛的应用。秒表的工作原理与数字时基本相同,唯一不同的是秒表的计时时钟信号,由于其分辨率为0.01秒,所以整个秒表的工作时钟是在100Hz的时钟信号下完成。当秒表的计时小于1个小时时,显示的格式是mm-ss-xx(mm表示分钟:0~59;ss表示秒:0~59;xx表示百分之一秒:0~99),当秒表的计时大于或等于一个小时时,显示的和多功能时钟是一样的,就是hh-mm-ss(hh表示小时:0~99),由于秒表的功能和钟表有所不同,所以秒表的hh表示的范围不是0~23,而是0~99,这也是和多功能时钟不一样的地方。在设计秒表的时候,时钟的选择为100Hz。变量的选择:因为xx(0.01秒)和hh(小时)表示的范围都是0~99,所以用两个4位二进制码(BCD码)表示;而ss(秒钟)和mm(分钟)表示的范围是0~59,所以用一个3位的二进制码和一个4位的二进制码(BCD)码表示。显示的时候要注意的问题就是小时的判断,如果小时是00,则显示格式为mm-ss-xx,如果小时不为00,则显示hh-mm-ss。 四、系统设计方案 秒表的逻辑结构较简单,它主要由显示译码器、分频器、十进制计数器、六进制计数器和报警器组成。 四个10进制计数器:用来分别对百分之一秒、十分之一秒、秒和分进行计数;两个6进制计数器:用来分别对十秒和十分进行计数;分频器:用来产生100HZ计时脉冲;显示译码器:完成对显示的控制。 根据电路持点,用层次设计概念将此设计任务分成若干模块,规定每一模块

基于EDA技术的数字电子时钟设计修改

EDA技术实现的数字电子钟设计 摘要电子设计自动化 Electronic Design Automation (以下简称EDA)技术已经代替传统的集成电路设计方法,逐渐成为电子系统设计者的主要设计手段。MAXplusⅡ是EDA仿真软件之一。具有功能强大、界面友好和使用方便等特点,是目前教育与工业界流行的集成电路辅助设计软件。MAXplusⅡ是一种在电子技术工程与电子技术教学中广泛应用的优秀计算机仿真软件,被誉为“计算机里的电子实验室”。本文介绍了一种基于MAXplusⅡ软件设计数字电子钟的方法。 关键词EDA MAXplusⅡ软件数字电子钟

目录 引言 (1) 第一章 EDA概论 (2) 1.1EDA技术概述 (2) 1.2 EDA在数字电路课程设计中的必要性 (2) 1.3 MAXplusⅡ概述 (3) 1.4 设计电子钟的可行性分析 (3) 第二章设计依据 (4) 2.1设计总体方案 (4) 2.2设计原理 (4) 2.3 设计目标和方法 (4) 第三章 EDA电子钟系统设计 (5) 3.1电子钟的功能分析、总体规划 (5) 3.1.1电子钟功能分析 (5) 3.1.2总体规划 (5) 3.2使用MAXplusⅡ作为数字电子钟设计平台 (5) 3.2.1 MAXplusⅡ软件仿真特点 (5) 3.2.2 MAXplusⅡ介绍 (6) 3.3 单元电路设计与分析 (6) 3.3.1石英晶体振荡器 (6) 3.3.2分频电路 (7) 3.3.3秒、分计数电路 (8) 3.3.4时计数电路 (9) 3.3.5校时电路 (10) 3.3.6整点报时电路 (10) 3.3.7定时闹钟 (12) 第四章MAXplusⅡ电子钟仿真结果 (15) 4.1MAXplusⅡ电子钟基本功能仿真结果 (15) 4.2 MAXplusⅡ电子钟扩展功能仿真结果 (15) 4.3 MAXplusⅡ电子钟整体仿真结果 (15) 结束语 (17) 参考文献 (18)

EDA数字电子钟设计.

XXXX EDA论文 论文题目:基于EDA的数字电子钟的实现 指导老师: 院系:XXX 姓名: 学号: 班级:XXX 2010年11月25日

摘要 Abstract 第一章:绪论 1.1:选题目的 1.2:设计思路 第二章:数字电子钟小系统 2.1:整体设计方案框架图 2.2:模块程序验证 2.3:总程序框图 第三章:硬件实现与总结 3.1:硬件实现 3.2:总结 致谢 参考文献

EDA技术[1]的设计语言为VHDL(硬件描述语言),实验载体为可编程器件CPLD或者FPGA,进行元件建模和仿真的目标器件为ASIC/SOC芯片。它是一种自动化设计电子产品的过程。在电子设计仿真的领域里,EDA技术的出现具有非常重要的现实意义。EDA 源自于计算机辅助设计、制造、测试以及辅助工程。利用EDA工具,设计者们可以从概念、算法、协议等方面来设计电子系统。值得一提的是,在整个电子系统的设计过程中,设计电路、分析性能、布置IC和PCB版图等步骤都可以在电脑上自动完成。 时钟我们的日常生活中必备的生活用品之一。而数字时钟的出现更是给人们的生产生活带来了极大的便利。EDA技术为数字类产品提供了一个非常简便实用的开发平台。随着EDA技术的快速发展,数字时钟的应用的范围越来越广泛,并且它在功能、外观等方面也有了很大的改善和提高。本文就是基于EDA技术和数字电路的基础知识,利用Quartus2软件、再现一个传统时钟功能和闹铃功能的数字时钟。整个小系统包括传统数字时钟所拥有的计时模块、校时模块、译码显示模块。 关键词:EDA;数字时钟;模块;闹钟 Abstract EDA technology design language for VHDL (hardware description language), experimental carrier for programmable devices, components or FPGA CPLD device modeling and simulation of target for ASIC/SOC chip. It is a kind of automation design electronic product process. In the electronic design simulation field, EDA technique appears has very important practical significance. EDA originated in computer aided design, manufacture, test and the auxiliary projects. Using EDA tools, designers can from concept, algorithm, agreement, etc to design electronic systems. Be worth what carry is, in the whole electronic system design process, the circuit design, analyzing performance, decorate IC and PCB layout steps can be on the computer automatically. Clock is one of the necessaries in our daily life. And digital clock has brought about lots of convenience. EDA technology provides a simpler and more useful platform to make a study of digital products. With the development of EDA technology, digital clock has improved a lot in its designing process, functions, appearance and so on. Nowadays, this paper is based on EDA technique and digital circuit, by using the basic knowledge of Quartus2 software, reproduce a traditional clock function and alarm function of digital clock. The system includes traditional digital clocks have timer modules, alarm clock module, decoding display module. Keywords: EDA; digital clock; modules; alarm

数字电子钟设计EDA电子电工实习报告

*******大学 实验报告 实验名称数字电子钟设计课程名称电工电子实习 专业班级:学生姓名:STT 学号:成绩: 指导教师:实验日期:

【总体思路】 ◆ 必做部分:设计一个数字电子时钟。 通过分析实验要求得出:选用74LS163芯片共计6片,采用同步计数的方法来设计相关计时器(同一源输入脉冲接至CLK ,控制ENT 使能端实现计数),秒位计时器与分位计时器均为60进制,时位计时器为24进制。 ◆ 选作部分:电子钟实现校时、清零和整点报时功能。 通过分析实验要求得出:1.控制数字电子钟分低位与时低位的使能端(ENT )输入(将使能端的输入分两部分,一种是自然输入,一种是输入相应电平信号手动控制使能信号)实现校时;2.控制数字电子钟各个位的清零端(CLRN )输入(清零端的输入分两部分,一种为自然输入,一种是输入相应电平信号手动控制清零)实现清零;3.控制验证当数字电子钟的输出为59分50秒时,与一个本电路所用的源输入脉冲信号,利用与门的特性输出相应的高低电平接通蜂鸣器实现整点报时。 【具体设计】 ◆ 必做部分:设计一个数字电子时钟。 1. 秒位计时电路设计(60进制) 秒低位计数用十进制计数器(74163改装)计数,由脉冲信号触发计数,9秒(秒低位输出1001B )时,秒低位清零;秒高位计数用六进制计数器(74163改装)计数,9秒时,秒高位芯片ENT 输入高电平,由此触发计数,59秒(秒低位输出1001B ,秒高位输出0101B )时,秒高位清零。如下图(2)所示: 图(2) 秒位计时电路 VCC pin_name1 INPUT s9OUTPUT s10OUTPUT s11OUTPUT s12 OUTPUT s13OUTPUT s14OUTPUT s15OUTPUT s16 OUTPUT COUNTER C B A ENT D CLRN CLK ENP LDN QA QB QC QD RCO 74163 inst2 COUNTER C B A ENT D CLRN CLK ENP LDN QA QB QC QD RCO 74163 inst13 NOT inst46A N D 2 i n s t 47 NOT inst48 A N D 3 i n s t 49 秒低位 秒高位 源输入脉冲

EDA设计数字时钟

EDA设计Ⅱ实验报告 ——多功能数字钟设计EDA设计Ⅱ实验报告 ——多功能数字钟设计 目录 一、摘要 (1) 二、关键字 (2) 三、正文 (2) 1、设计要求说明 (2) 2、方案论证 (3) 3、各子模块设计原理 (3) (1)计时电路 (3) (2)脉冲发生电路 (6) (3)译码显示电路 (8)

(4)报时电路 (12) (5)校分电路 (13) (6)清零电路 (14) (7)闹钟电路 (15) 4、整体电路图 (19) 5、调试 (19) 6、仿真 (20) 7、编程下载 (20) 四、结论 (20) 五、实验感想 (20) 1、实验中遇到的问题及解决方法 (20) 2、实验的收获与感受 (21) 六、参考文献 (21) 一、摘要 FPGA(Field Programmable Gates Array)现场可编程门阵列与CPLD(Complex Programmable Logic Device)复杂可编程逻辑器件都是可编程逻辑器件,是在PAL、GAL等逻辑器件基础之上发展起来的,规模比较大,适合于时序、组合等逻辑电路应用场合,不仅可编程性好并且实现方案容易改动,是电子工程设计过程中很重要的器件之一,对其编程环境的熟练使用也随之成为电子工程设计人员必备的素质之一。 本文详细阐述了如何在QuartusII软件平台下用原理图及VHDL语言的方法对逻辑器件进行编程,并下载到SmartSOPC实验系统中实现一个多功能数字钟的设计。 该多功能数字钟在正常时分秒走时的基础上还能够完成计星期、校分、校时、保持、清零等各种调整功能,且能够整点报时、设置闹钟时间并在所预置的时间响起音乐彩铃。 Abstract FPGA (Field Programmable Gates Array) field programmable gate array and CPLD (Complex Programmable Logic Device) complex programmable logic devices are programmable logic devices, which develop based on the PAL, GAL and other logic devices.They are relatively large-scale and are suitable for timing, and combination logic circuit applications.Their programmability is not only good but also easy to implement changes.It’s one of the important electronic devices of engineering design process, and the skilled use of their programming environment will become one of the essential qualities of a a electronic engineer.

相关主题
文本预览
相关文档 最新文档