当前位置:文档之家› 数字电子技术课程设计——四花样彩灯控制器

数字电子技术课程设计——四花样彩灯控制器

数字电子技术课程设计——四花样彩灯控制器
数字电子技术课程设计——四花样彩灯控制器

一、设计要求

1.1 设计课题及要求

(一)题目:四花样彩灯控制器

(二)基本要求:设计一四花样自动切换的彩灯控制器,要求实现

(1)彩灯一亮一灭,从左向右移动;

(2)彩灯两亮两灭,从左向右移动;

(3)四亮四火,从左向右移动

(4)从1?8从左到右逐次点亮,然后逐次熄灭;

(5)四种花样自动变换。

555定时器,模十六计数器74LS161,双D触发器74LS74,与门74LS08,非门74LS04, 四选一数据选择器74LS153,八位移位寄存器74LS164。

二、系统组成及工作原理

2.1 系统组成框图

把四花样彩灯设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。系统可由四个模块组成,它们分别是:

四种码产生电路、开关电路、数据输出、时钟电路。设计框图如图 2.1所示:

由两个555构成两个时钟电路,由模十六计数器和组合逻辑门构成四种码产生电路,由双 D 触发器和数据选择器构成开关电路,由移位寄存器和八个彩灯构成输出电路,一个时钟控制模十六计数器和移位寄存器,另一个时钟控制双D触发器。

2.2工作原理分析

从多谐振荡器出来的脉冲信号分为两路:一路作为计数脉冲送到模十六计数器;另一路做为

移位时钟脉冲加到移位寄存器。调节多谐振荡器的电阻可以改变震荡频率,即改变彩灯移动的速度,得到不同的动态效果。

多谐振荡器、双D触发器、数据选择器共同组成一个电子开关。多谐振荡器输出的计数脉冲

经双D触发器两位二进制计数器,在它的两个输出端得到00、01、10、11四种逻辑状态。这四

个状态作为数据选择器的四个数据通道选择信号,对应从模十六计数器输送到数据选择器的QA QB QC QD四个分频信号。其作用相当于一个受控的一刀四位的机械转换开关。当双D触发器输

出为“ 00”时,数据选择器输出10000000序列脉冲,为八分频信号,实现花样一;为“ 10”时,数据选择器输出11000000序列脉冲,为八分频信号,实现花样二;为“ 01”时数据选择器输出11110000序列脉冲,为八分频信号,实现花样三;为“ 11”时数据选择器输出1111111100000000 序列脉冲,为十六分频信号,实现花样四。调节开关电路的CP脉冲产生电路的电阻,可以改变

开关的切换时间用以选择每种花样出现时间的长短。

数据选择器的输出端接移位寄存器的输入端,在时钟脉冲的作用下,数据在移位寄存器的八位并行输出端从Q0到Q7顺序移动。移动的八位控制信号直接控制发光二极管的亮灭,就出现了八路四花样自动循环切换的流水彩灯。

三、电路方案设计

3.1电路图设计

将各单元电路组织起来就得到了系统电路图,如图所示

本电路图设计简单、结构清晰,可分为四种码产生电路、开关电路、输出电路和时钟电路这

四个模块。四种码产生电路由模十六计数器和组合逻辑门构成,开关电路由双D触发器和数据选

择器构成,输出电路由移位寄存器和彩灯构成,时钟电路由两个555构成。

通过改变多谐振荡器的电阻可改变彩灯流动的速度和各花样持续的时间,实现了彩灯花样的动态变化,增强了控制器的灵活性。

四单元电路设计与计算

4.1时钟脉冲产生电路

用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲

,其周期为:

T=0.7(R i +2R z )C 要用两个555产生两个时钟脉冲,一个控制74LS161模十六计数器和八位移位寄存器 到彩灯的流动,其周期设为1秒左右,

电阻值和电容值可设为:

R 1=1KQ , R 2=51KQ , C=0.01 3 F

由公式(4-1 )计算得:T=0.721S

电路图如图4.1所示:

VCC

5V

R1

10uF

图4.1时钟电路

另一个555产生的矩形脉冲控制彩灯的自动转换,其周期设为模十六计数器的 改变R1、R2的阻值即可,可设为:

R=1KQ , R 2=1MQ , C=0.01 卩 F

(4-1)

,要能看

1kohm

U1

51kohm

RST

VCC

OUT

DIS THR TRI

CON

GN D

C2

20倍,

R2

8

4

3

7

6 2

555 VIRTUA L

5

1

C1

0.01u F

由公式(4-1 )计算得:T=14.42S

4.2四种码产生电路

根据彩灯要实现的四花样,可确定移位寄存器输出的二进制码,即四种码产生电路要产生的码,如表4-1所示:

表4-1四种码

要产生这四种码,可由十六进制计数器接组合逻辑门产生,十六进制计数器的真值表如表4-2 所示

表4-2计数器真值表

序号

原状态[S(t)]

Q Q 3 Q 2 Q 1

Q 次态[N(t)]

Q 3 Q 2 Q 1

输出

乙Z2 Z3 Z4

0 0 0 0 0 0 0 0 1 0 1 1 1

1 0 0 0 1 0 0 1 0 0 0 1 1

2 0 0 1 0 0 0 1 1 0 0 1 1

3 0 0 1 1 0 1 0 0 0 0 0 1

4 0 1 0 0 0 1 0 1 0 0 0 1

5 0 1 0 1 0 1 1 0 0 0 0 1

6 0 1 1 0 0 1 1 1 0 0 0 1

7 0 1 1 1 1 0 0 0 1 1 1 0

8 1 0 0 0 1 0 0 1 0 1 1 0

9 1 0 0 1 1 0 1 0 0 0 1 0

10 1 0 1 0 1 0 1 1 0 0 1 0

11 1 0 1 1 1 1 0 0 0 0 0 0

12 1 1 0 0 1 1 0 1 0 0 0 0

13 1 1 0 1 1 1 1 0 0 0 0 0

14 1 1 1 0 1 1 1 1 0 0 0 0

15 1 1 1 1 0 0 0 0 1 1 1 1

由表4-2分析得:

Z i=QQQ

Z 2=QQ

Z 3=Q

乙=0^

所以四种码产生电路如图

4.2所示:

VCC

图4.2 四种码产生电路

4.3彩灯开关电路

要实现彩灯四花样的自动转换,就要使四选一数据选择器

74LS153循环地输出 乙、Z Z Z 4。使双D 触发器的输出端接数据选择器的两个地址输入端,双

D 触发器能产生00、01、10、11

这四钟循环的状态,从而使选择器循环的选择一种码输出,实现彩灯的四花样循环。

开关电路图如图4.3所示:

U3A

U2A

10

U1

?CLR ?LOAD

ENT RCO

15

ENP 14

74LS04N

U3B

U2B

74LS04N

U3C

74LS08N

A QA

B

QB

C QC

D

QD

U3D

74LS08N

5V

5

2

CLK

13

4 12

5 11

6

74LS161N

74LS04N

74LS04N

图4.3 开关电路

令Q1Q2=AB , 74LS153数据选择器的功能表如表4-3所示:

表4-3 数据选择器功能表

由表4-3可知,数据选择器的地址输入端A B循环转变,输出端1Y循环选择四种码Z1、Z2、

Z3、Z4输出,使彩灯的四花样自动循环改变。

4.4花样输出电路

输出电路由八位移位寄存器74LS164、八个彩灯和八个驱动电阻构成。寄存器的数据输入端接收开关电路输出的四种码,这四种码在移位寄存器的八位并行输出端从QA向QH移动,输出四种彩灯花样。

当输入移位寄存器数据输入端的码为10000000时,清零后在移位脉冲CP的作用下,寄存器

数码移动情况如表4-4所示:

表寄存器数码移动情况表

由表4-4可看出,输入码中的那位高电平“1”从寄存器的输出端QA经八个移位脉冲CP作

用后逐渐到了QH使输出端所连接的彩灯依次点亮,实现了彩灯依次点亮的花样。当输入另外的

三种码时,寄存器的数码移动原理相似,所以就不累赘了。

五、安装调试

5.1电路板安装及检查

A 将原件插在电路板上,按电路图进行连线,并用电烙铁进行焊接

注意事项:

电路比较复杂,需要接线很多,焊点也很多,且焊点集中,焊接时一定注意不要将不该焊到一起的点焊一起了。

1、注意芯片的用法,特别是管脚的正确连接。

2、注意不要忘了将芯片的Ucc端和GND端接到电路上。

3、注意LED的正负极。

4、正确运用PCB板的特性,连通的地方可省去布线,Ucc和GND分别利用PCB板上下的连线。

B依次检查电路板的连线,看有没有焊错、虚焊或短路的地方,检查各芯片的电源和地是

否接上,并调整过来。检查无误后进行调试

5.2调试

前面的检查无问题后,接通电源,进行调试,根据彩灯的变化情况,确定可能的原因,分析是哪个功能模块出了问题,用数字万用表检查各模块的功能,发现并改正错误,直到符合要求为止。

5.3故障及分析

电路接好后,发现八个同时亮,没有明显的花样变化,经检查后发现,原来是电容接错了,由于所接电容比较小,充放电时间比较短,变化太快,所以看不清花样的变化。将电路调整后再调试,没有发现问题。

六、实验小结

本次试验的内容是四花样彩灯控制器。由于在以前的学习中积累了一些经验,这次设计

总的来说是比较顺利的。通过设计,我进一步熟悉了电烙铁和万用表的使用方法,巩固和加深对

数电所学理论知识的理解和掌握,特别是对74LS161十六位循环计数器、74LS04六反相器、

74LS08四2输入正与门、74LS153数据选择器、74LS164八位移位寄存器、CT74LS74正边沿触发双D触发器、多谐振荡器等原件了有了更深的了解,深感受益匪浅。

实验中电路比较复杂,在焊接布线时相当的麻烦,得非常小心,涉及多个芯片的用法,焊接

前一定要分析清楚这些芯片的用法。板子的连通性,给布线带来方便时也使安装元器件时要注意,

不能让元器件短路,如果不小心令电路短路了,就很容易烧毁原件,后果不堪设想。

设计过程中温习了基本的焊接技术,万用表的使用和熟悉了电阻的读数方法和很多元器件的

使用方法。但在做的过程中也遇到了很多的问题。实验开始时,就遇到了元器件不够的问题,最后只能把几个人得元器件聚集起来,大家一起做了。试验中,由于焊接技术不熟练,导致焊点处的锡点的过多或过少,甚至造成短路,而使电路板不能正常工作;也出现了因对元器件的使用不熟悉而使元器件管教接错或其他的错误,同样造成电路板的不能使用。

让我印象最深的是调试过程了。焊接检查完毕后,把电路板插在电源上,发现八个等同时亮

了,但没有预期中的花样变化。开始以为是接线有问题,可是把电路板取下检查无误后还是一样。

当时感到很奇怪,之后问做好的同学才知道原来是实验中两个电容的位置接错了。调换过来,果然成功了。通过这个经历也让我长了经验教训,做试验前,一定要弄清原理,否则就会走不必要的弯路。

另一让我感触颇深的是安全问题。在焊接时,由于不小心,手被烫伤了;调试时由于心急用

手触碰到了电路板,而与电流有了一次"亲密的接触”。好在我还算幸运,没有出现什么事故,

不过也着实让我吃了一惊,以后一定不敢如此大意了。

看着自己焊成的彩灯随着时间的脚步忽明忽暗,心里有一种说不出的成就感。不过,美中不

足的是,我所充当的只是一个“焊接者”,而不是创造者。为此,我也给自己确立了一个目标,

以后要加强理论知识的学习,设计属于自己的东西,那时候,我所能体会到的成就感才是货真价实,没有掺杂的。

小彩灯控制电路设计

实验5 彩灯控制电路 一、实验目的 1. 掌握彩灯控制电路的设计和实现; 2.综合运用所学器件进行简单电路的设计; 3.熟练掌握74LS00、74LS86、74LS90、74LS138的综合应用。 二、实验设备 1、函数信号发生器 2、数字双踪示波器 3、集成电路:74LS00 4、集成电路:74LS86 5、集成电路:74LS90 6、集成电路:74LS138 7、发光二级管、电阻、开关等 三、实验内容 1、彩灯控制电路要求控制4个彩灯; 2、两个控制信号:S1S0= 00 灯全灭; S1S0=01 右移,循环显示; S1S0=10 左移,循环显示; S1S0=11 灯全亮。 四、实验结果 1.彩灯控制电路简单的系统框图介绍: ↓ ↓

↓ 2.详细设计思路: S1S0每个状态下4个彩灯有四种状态变化,用74LS90产生脉冲,按照四进制接法,接入74LS138作为74LS138芯片的驱动信号,然后进行译码操作,从而实现彩灯的控制电路的设计,下面列出该实验的真值表 其中:QA、QB为74LS90的输出端,G1为74LS138的控制端,A、B、C为输入端,Y0-Y7为输出端,X0、X1、X2、X3为四个彩灯的状态显示,0表示灭,1表示亮。 3.彩灯控制电路逻辑真值表: 彩灯控制电路的真值表

4.由此可以得到相应的逻辑关系如下: C=S1 B=QA A=QB G1=S1⊕S0 X0=Y0+Y4+S1S0 X1=Y1+Y7+S1S0 X2=Y2+Y6+S1S0 X3=Y3+Y5+S1S0 5.实验仿真电路图如下所示: (1)其中函数信号发生器设置为方波,1Hz; (2)开关S1中上面为S1,下面为S0,左拨为0,右拨为1; (3)四个彩灯使用红色发光二极管显示,从左到右的循环等价于图中从上到下的循环,从右到左的循环等价于图中从下到上的循环显示,另外每个二极管各添加了一个500欧的电阻来限制电流,防止二极管烧坏。

课程设计--四花样彩灯控制器

课程设计--四花样彩灯控制器

2012 ~ 2013 学年第二学期 《数字电子技术》 课程设计报告 题目:四花样彩灯控制器 专业:电子信息工程 班级: 11 电信一班 姓名:孙叶林陶轮汪宏俊汪义涛王安 亚 王劲松王亮亮王向阳魏伟指导教师:周旭胜 电气工程系 2013年5月30日

任务书 课题名称四花样彩灯控制器 指导教师(职称)周旭胜 执行时间2012~ 2013 学年第 2学期第 14 周学生姓名学号承担任务 王安亚1109121033 设计总电路图1 汪宏俊1109121031 设计总电路图2 陶轮1109121030 负责对比两个总电路图 汪义涛1109121032 设计555时钟脉冲产生电路 王向阳1109121036 设计四种码产生电路 王劲松1109121034 设计输出电路 魏伟1109121037 设计开关电路 王亮亮1109121035 查找参考资料 孙叶林1109121029 负责写课程设计报告 设计目的 通过设计方案的比较,对比电路的复杂与简单,器件的市场价格等方面因素,来选择一种比较好的可行性设计方案 设计要求(1) 彩灯一亮一灭,从左向右移动; (2) 彩灯两亮两灭,从左向右移动; (3) 四亮四灭,从左向右移动; (4) 从1~8从左到右逐次点亮,然后逐次熄灭; (5) 四种花样自动变换。

摘要 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。优易LED全彩灯光控制系统由Color Edit编辑软件、主控器、分控器和LED光源组成,广泛应用于城市景观、风景名胜、道路桥梁、建筑轮廓、娱乐场所、户外广告、室内装饰等美化、亮化工程。 四花样自动切换的彩灯控制器,其电路简单、取材容易,而且被广泛地应用与现实生活当中。例如用于店面装饰可以增加其美观,吸引更多顾客。 在经过了几天紧张的电路焊接和调试,期间还进行了部分方案的修改和改进,现已实现了课程设计的主要任务和具体要求。 关键字:LED彩灯硬件电路

multisim软件的数字电路——彩灯循环控制

数字电路课程设计报告 设计课题:彩灯循环控制器的设计 专业:电子信息科学与技术 班级: 20081421 学生姓名: 学号: 2008142115 学生姓名: 学号: 2008142134 指导教师: 二零一一年六月五日

一、实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 4.掌握multisim软件的操作并对设计进行仿真。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制 器的设计方法。 二、设计要求 1、有十只LED,L 0……L 9 2、显示方式 (1)先奇数灯依次亮 (2)再偶数灯依次亮 (3)依次循环变化 3、显示间隔0.5s,1s可调。 三、设计方案 1)课题的分析 此电路主要由五部分组成,其整体框图如图(一)所示。 图一

2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图(二)所示。 图二 (2)分频电路 用D触发器设置分频电路控制彩灯实现彩灯的显示时间。电路如图三 图三 其中开关C和B用来控制显示方式。

(3)计数器控制电路 用74LS161计数器转换脉冲信号用来控制彩灯的奇偶显示方式。 74LS161N 17 19 图四 (4)计数译码驱动电路 用74154译码74LS161计数器产生的信号驱动彩灯的显示。电路如图五: 74154N 4-16线译码器74154N中00-09接10个LED灯译码。(5)显示电路 用74154驱动彩灯的显示,电路如图六:

8个彩灯控制电路设计

课程设计(论文) 题目名称8个彩灯控制电路设计 课程名称单片机原理及接口技术 学生姓名何辉 学号0941201058 系、专业电气工程系测控类 指导教师杨波 2011年6 月25 日

邵阳学院课程设计(论文)任务书 年级专 业 09级电气工程系学生姓名何辉学号0941201058 题目名称8个彩灯控制电路设计计时间2011年6月7日—2011 年7月3日 课程名称单片机原理及在 电气测控学科中 的应用 课程编号121200105 设计地点 数字控制与PLC实验 室\创新实验室 (214)(305) 一、课程设计(论文)目的 通过课程设计,进一步熟悉和掌握AT89S51单片机的结构及工作原理,掌握以单片机核心的电路设计的基本方法和技术,了解表关电路参数的计算方法。通过完成一个包括电路设计和程序开发的完整过程,使学生了解开发一单片机应用系统的全过程,通过此综合训练,为以后毕业设计打下一定的基础。为今后从事相应打下基础。 二、已知技术参数和条件 1、系统电路的构成用AT89S51单片机和中规模集成芯片,及电子元件。 2、WA VE 软件或KEIL 软件编译 三、任务和要求 任务: 用AT89S51单片机设计设计一个8个彩灯控制电路。 要求: 1 从左到右排列,编号为1~8号。系统启动后,灯管点亮的顺序依次为:1号→2 号→3号→...→7号→8 号,时间间隔为1S。8根彩灯全亮后,持续10S。然后按照8号→7号→6号→...→2号→1号的顺序依次熄灭,时间间隔为1S。灯管全部熄灭后,等待2S,再从8号灯管开始,按照8号→7号→6号→...→2号→1号的顺序依次点亮,时间间隔为1S。全部点亮后持续20S,再按照1号→2号→3号→...→7号→8号的顺 序熄灭,时间间隔仍为1S。灯管全部熄灭后,等待2S,再重新开始上述过程的循环。 2、用proteus仿真 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效; 2.此表1式3份,学生、指导教师、教研室各1份。

花样彩灯的设计.(DOC)

西安电子科技大学 《数字电子技术》课程设计 题目花样彩灯的设计 学生姓名 专业班级11 学号 院(系)信息工程学院 指导教师 完成时间2013年11月28日

目录 1 设计内容及要求 (1) 1.1 设计内容 (1) 1.2 设计要求 (1) 1.3 主要参考元器件 (1) 1.4 电路原理 (1) 1.5 工作原理分析 (2) 2 方案设计 (3) 2.1 方案的设计 (3) 2.2 工作原理分析 (3) 2.3 方案设计 (4) 3 单元电路分析 (7) 3.1 时钟脉冲产生电路 (7) 3.2 周期的计算 (7) 4 焊接与调试及测试结果分析 (8) 4.1 焊接 (8) 4.2 调试 (8) 4.3 测试 (9) 5 总结 (10)

参考文献 (12) 附录1:元器件清单 (13) 附录2:实物图 (14)

1 设计内容及要求 1.1 设计内容 设计一四花样自动切换的彩灯控制器。 1.2 设计要求 (1)彩灯一亮一灭,从左向右移动。 (2)彩灯两亮两灭,从左向右移动。 (3)四亮四灭,从左向右移动。 (4)从1~8从左到右逐次点亮,然后逐次熄灭。 (5)四种花样自动变换。 1.3 主要参考元器件 芯片:555定时器,模十六计数器74LS161,双D触发器74LS74非门74LS04,四选一数据选择器74LS153,八位移位寄存器74LS164。 1.4 电路原理 彩灯控制器电原理。IC由555接成多谐振荡器。3由4位2进制计数器74LS93接成16进制计数器,其4个输出端可分别输出对计数脉冲的2、4、8、16分频信号。4是双D触发器74LS74,在这里接成两位2进制加法计数器。5是双4选l数据选择器74LSl53,这里只用了它的一组4选1数据通道。6是3位单向移位寄存器74LSl64,它是产生移动灯光信号的核心器件。驱动电路用8只三极管组成8路射随器作缓冲放大,去触发作电流开关的8只双向可控硅,以控制彩灯发光。电路的十5V电源由220V 至9V变压器降压,经D1至D4桥式整流,7805稳压后给控制

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

基于PLC的花样彩灯控制系统设计课程设计

专业课综合课程设计说明书基于PLC的花样彩灯控制系统设计

目录 摘要 (1) 1 前言 (2) 2 PLC概述 (3) 2.1 PLC的定义 (3) 2.2 PLC的发展历程 (3) 2.3 PLC的特点 (3) 2.3.1 高可靠性、抗干扰能力强 (3) 2.3.2 丰富的I/O接口模块 (4) 2.3.3 配套齐全、功能完善、适用性强 (4) 2.3.4 易学易用,深受工程技术人员欢迎 (4) 2.3.5 系统的设计、建造工作量小,维护方便,容易改造 (4) 2.3.6 体积小,重量轻,能耗低 (4) 2.4 PLC的应用领域 (5) 2.5 三菱PLC-FX2N系列可编程控制器简介 (6) 3 设计任务与要求 (7) 4 花样彩灯方案设计 (8) 4.1 彩灯常见的工作模式 (8) 4.2 确定输入输出设备,选择PLC类型 (8) 4.3 确定I/O分配表 (8) 5 系统硬件设计 (9) 5.1 PLC选型 (9) 5.2 组成原理 (10) 5.3 PLC外部接线原理图 (10) 6 软件设计 (11) 6.1 初始化程序 (11) 6.2 主控输出程序 (12) 6.3 系统调试方法 (12)

6.4 系统调试及结果分析 (13) 7 小结 (15) 参考文献 (16)

摘要 随着科学技术的飞速发展,在现代生活中,彩灯作为一种景观应用越来越多。针对PLC日益得到广泛应用的现状,文章介绍了PLC对大型演出现场的彩灯进行控制,并给出其PLC控制系统的接线图和梯形图程序设计。该设计具有可编程性、线路简单、可靠性高等特点,提高了系统的灵活性及可扩展性,包括对变换类负载、舞台流水灯、大型标语牌底色流水灯的控制,以营造良好的现场灯光氛围,并且便于起停、控制、检修,节约人力物力。仿真结果验证了该设计的实用性。 关键词:PLC,彩灯控制,设计,仿真

单片机控制的花样彩灯设计

课程设计报告书 题目: 花样彩灯控制系统 专业:电气工程 学号: 0401100102 学生姓名:杜世会 指导教师:赵阳 日期:2012-6-22 河南工业职业技术学院 课程设计(论文)任务书

电气工程系电气自动化 1001 学生:杜世会 指导教师:赵阳 助理指导教师(并指出所负责的部分):

教研室:教研室主任: 目录 1引言 (4) 2 设计原理 (5) 2.1MCS51 (5) 2.2 LED (6) 2.3中断指令 (8) 2.4硬件接原理图 (9) 3程序流程图 (11) 3.1主流程图 (11) 3.2流水灯子流程图 (12) 3.3中断程序流程图 (13) 4汇编程序 (14) 4.1主程序 (14) 4.2一秒钟定时程序 (17) 5小结 (18) 参考文献 (19) 1.1引言 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。 LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰已经成为一种时尚。但目前市场上各式样的 LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。因此有必要对现有的彩灯控制器进行改进。 课程设计是学完一门课后应用本课知识及以前的知识积累而进行的综合性、开放性的训练,

是培养学生工程意识和创新能力的重要环节。进一步巩固和加深“单片机”课程的基本知识,了解单片机设计知识在实际中的应用。综合运用“单片机”课程和先修课程的理论及生产实际知识去分析和解决电路设计问题,进行单片机电路设计的训练。学习单片机设计电路的一般方法,了解和掌握单片机电路的设计过程和进行方式,培养正确的设计思想和分析问题、解决问题的能力,特别是总体电路设计能力。通过计算和绘图,学会运用标准、规范和查阅有关技术资料等,培养单片机电路设计的基本技能。 该程序示例了单片机键盘控制p1口流水灯花样的方法;具体表现为:p3.2 3.2 3.4 3.5四个小本文提出了一种基于AT89S51单片机的彩灯控制方案,实现对LED 彩灯的控制。按键,分别实现了四个控制。通过这次实验,我们也了解了团队合作的重要性,集体的力量是伟大的,一个人如何融入一个团队是是一个重要问题,讲究合作才能取得最后的成功! 2设计原理 2.1 MCS51单片机 引脚说 明 MCS 单片机都采用40引脚的双列直插封装方式。图2-9为引脚排列图, 40条引脚说 明如下: 1、主电源引脚Vss 和Vcc ① Vss 接地 ② Vcc 正常操作时为+5伏电源 2、外接晶振引脚XTAL1和XTAL2 ① XTAL1内部振荡电路反相放大器的输入端,是外接晶体的一个引脚。当采用外 部振荡器时,此引脚接地。 ② XTAL2内部振荡电路反相放大器的输出端。是外接晶体的另一端。当采用外部振荡器时,此引脚接外部振荡源。 3、控制或与其它电源复用引脚ALE/PROG ,PSEN 和 RST/VPD , P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.7 8RST/VPD 9RXD P3.010TXD P3.111INT0 P3.212INT1 P3.313T0 P3.414T1 P3.515WR P3.616RD P3.717XTAL218XTAL119VSS 20 P2.0 21 P2.122P2.223P2.324P2.425P2.526P2.627P2.728PSEN 29ALE/PROG 30EA/VPP 31P0.732P0.633P0.534P0.435P0.336P0.237P0.138P0.039VCC 408031 8051 8751

数电课程设计-彩灯

课程设计报告 ( 2009 -- 2010 年度第二学期) 名称:数字电子技术课程设计 题目:彩灯控制系统 学号: 学生姓名: 成绩: 日期:2010 年5 月21 日

目录 一、电子技术课程设计的目的与要求 (3) 二、课程设计名称及设计要求 (3) 三、系统框图及简要说明 (3) 四、方案选择与论证 (4) 五、单元电路设计(原理、芯片、参数计算等) (4) 六、总体电路 (6) 七、实验过程 (6) 八、心得体会 (7) 附录I:总原理图 (7) 附录II:multisim仿真图 (8) 附录III:元器件清单 (9) 附录IV:参考文献 (9)

一、电子技术课程设计的目的与要求 设计目的: 课程设计作为数字电子技术课程的重要组成部分,目的是使学生进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以及分析、解决问题的能力。 按照本专业培养方案要求,在学完专业基础课数字电子技术课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计小型数字系统的方法,独立完成系统设计及调试,增强学生理论联系实际的能力,提高学生电路分析和设计能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 设计要求: 1.教学基本要求 要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的组装及调试工作;在课程设计中要注重培养工程质量意识,按要求写出课程设计报告。 2.能力培养要求 (1)通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 (2)通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 (3)掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 (4)综合应用课程中学到的理论知识去独立完成一个设计任务。 (5)培养严肃认真的工作作风和严谨的科学态度。 二、课程设计名称及设计要求 彩灯控制电路: 1.设计任务 本课题要求设计一个具有一定变幻功能的四路彩灯显示系统。 2.技术指标及要求: (1)四路彩灯从左向右逐次渐亮,间隔为1秒。 (2)四路彩灯从右向左逐次渐灭,间隔为1秒。 (3)四路彩灯同时点亮,时间为0.5秒,然后同时变暗,时间为0.5秒, 反复4次。 3. (选做)多种图形方案选择显示。 三、系统框图及简要说明 图一简化设计框图

四花样彩灯控制器设计

四花样彩灯控制器设计 杨洋(安庆师范学院物理与电气工程学院安徽安庆 246011) 指导教师:刘娟 摘要:随着人们对城市美化要求的提高,在许多场合可以看到彩色霓虹灯的应用LED彩灯由于其丰富的灯光色彩低廉的造价和其控制简单等特点而得到广泛的应用。有些彩灯控制器实现的团单一,体积过大,应用起来极不方便,为了更好的美化环境,是彩灯控制器适应不同的地点,不同的环境,而通过小型的数字集成器来设计更为实用的彩灯控制器,本次课程设计的目的是设计一四花样自动切换的彩灯控制器,要求实现:彩灯一亮一灭,从左向右移动;彩灯两亮两灭,从左向右移动;四亮四灭,从左向右移动;从1~8从左到右逐次点亮,然后逐次熄灭;四种花样自动变换。 按照要求设计了电路,本电路使用555定时器构成振荡电路,555定时器是一种模拟和数字功能相结合的中规模集成器件,数据选择器采用74LS153,74LS153里面有两个地址码共用的4选1数据选择器,通过输入不同的地址码就可以控制输出Y选择4个输出数据中的1个。此器件在各种数字电路和单片机系统的显示系统中经常用到。 经过一系列的分析、准备。本次课题设计除在美观方面处理得不够得当之外。本次电路设计完成全部的设计要求。 关键字:555定时器;数据选择器;LED;四花样彩灯控制器 第一章设计要求 1.1 设计课题及要求 (一)题目:四花样彩灯控制器 (二)基本要求:设计一四花样自动切换的彩灯控制器,要求实现 (1) 彩灯一亮一灭,从左向右移动; (2) 彩灯两亮两灭,从左向右移动; (3) 四亮四灭,从左向右移动; (4) 从1~8从左到右逐次点亮,然后逐次熄灭; (三)主要参考元器: 555定时器,模十六计数器74LS161,双D触发器74LS74,与门74LS08,非门74LS04,四选一数据选择器74LS153,八位移位寄存器74LS164。

数电课程设计四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级: 110422学号: 11042215 姓名:陈粤龙 评分:教师: 20 13 年 9 月 23 日

数字电路课程设计任 务书 20 12 -2013 学年第 1 学期第19 周- 20 周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3)四亮四灭,从左向右移动 (4)从1~8从左到右逐次点亮,然后逐次熄灭 (5)四种花样自动变换. 进度安排 1. 布置任务、查阅资料、选择方案、领仪器设备:2天 2。仿真、画PCB线路图:2天 3。领元器件、制作、焊接:3天 4.调试:2天?5. 验收:1天 6. 提交报告:2013-2014学年第一学期2—3周 学生姓名:陈粤龙 指导时间:2周指导地点:E610 任务下达2013年 9月 22日任务完成2013 年 9 月2 5日 考核方式1.评阅□2.答辩□3.实际操作□4.其它□ 指导教师系(部)主任 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份

备查。 2、课程设计结束后与“课程设计小结"、“学生成绩单”一并交院教务存档。

摘要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理.本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS 153的四个输入端,它的地址输入端接双D触发器74LS74的两个输出端,74L S74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D触发器。当彩灯完成一种花样时,双D触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化. 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲分频自动转换控制器数据选择器

数电实训彩灯控制电路设计

桂林电子科技大学信息科技学院《数字逻辑电路》实训报告 学号 1051100425 姓名 指导教师:邹老师覃老师 2010 年 07 月 13 日

多路彩灯控制电路 1.整机设计 1.1 设计要求 (1)功能要求:八个彩灯用8个放光二极管代替; (2)设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能; (3)彩灯亮点移动时间间隔取1秒; (4)彩灯的布图形状随意; (5)让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.1 设计任务 通过查找资料设计彩灯的原理图﹑PCB图使其能实现全亮﹑全灭﹑左移﹑右移等功能,让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.2 性能指标要求 彩灯亮点的时间间隔为1秒,占空比为50% 1.2 整机实现的基本原理及框图 1.2.1 基本原理 通过两片集成双向移位寄存器74LS194和拨码开关控制右移﹑左移和一个拨码开关进行预置端让其全亮﹑全灭和一个由555芯片构成的CP产生电路其主要原理框图如下: 1.2.2 总体框图 总体框图 2.各功能电路实现原理及电路设计 (1)彩灯演示电路 2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电

阻(保护发光二极管)和八个发光二极管。其电路图如下 彩灯演示电路图 (2)彩灯控制电路 移位寄存器是一个具有移位功能的寄存器。寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的叫双向移位寄存器。根据移位寄存器存取信息的方式不同分:串行串出,串入并出,并入串出,并入并出4种形式。 本电路由2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电阻(保护发光二极管)和八个发光二极管和一片74LS04(控制彩灯循环亮的作用)和拨码开关控制输入的高低电平。其图如下: 彩灯控制电路图

循环彩灯数电课程设计

课程设计说明书 课程名称:数字电子技术 设计题目:循环彩灯 院系:电子信息与电气工程学院 学生姓名: 学号: 专业班级: 指导教师: 2011年5月25日

课程设计任务书

循环彩灯 摘要:本次循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。 关键词:循环彩灯555定时器74LS19274LS138

目录 1.设计背景 (4) 1.1了解数字电路系统的定义及组成 (4) 1.2掌握时钟电路的作用及基本构成 (4) 2.设计方案 (4) 2.1总体设计路 (4) 2.2电路框图 (4) 3.方案实施 (5) 3.1 555定时电路产生始终脉冲 (5) 3.2 74LS38与74LS92功能 (6) 3.3 电路仿真 (8) 3.4 PCB板制作 (9) 4.结果与结论 (10) 4.1电路调试 (10) 4.2 理论值与实际值 (11) 5.收获与致谢 (11) 6.参考文献 (13) 7.附件 (13)

附录1 (13) 附录 2 (13) 1. 设计背景 1.1了解数字电路系统的定义及组成 数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。输入电路主要作用是将被控信号转换成数字信号,其形式包括各种输入接口电路。比如数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理的数字信号。模拟信号则需要通过模数转换电路转换成数字信号再进行处理。在设计输入电路时,必须首先了解输入信号的性质,接口的条件,以设计合适的输入接口电路。 1.2掌握时钟电路的作用及基本构成 时钟电路是数字电路系统中的灵魂,它属于一种控制电路,整个系统都在它的控制下按一定的规律工作。时钟电路包括主时钟振荡电路及经分频后形成各种时钟脉冲的电路。比如多路可编程控制器中的 555 多谐振荡电路,数字频率计中的基准时间形成电路等都属于时钟电路。设计时钟电路,应根据系统的要求首先确定主时钟的频率,并注意与其他控制信号结合产生系统所需的各种时钟脉冲。 2 设计方案 2.1 总体设计思路 循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。

花样彩灯控制器

制作名称:花样彩灯控制器 1、制作编号:003 2、难度等级:★★★(适合第4学期制作) 3、设计要求 1)以单片机AT89S51作为主控芯片,设计一个花样彩灯控制器。 2)单片机要求具有自动上电复位功能,振荡器采用11.0592MHz晶振。 3)要求该控制器连接多个发光二极管,发光二极管能够以多种方式(花样)显示,花样新颖,发光二极管的变换频率要适中。 4)用两个按键来控制在多种花样之间的切换。 5)用一个发光二极管的亮灭来显示按键的切换效果。 6)合理选择连接于发光二极管电路上的限流电阻的阻值大小,保障发光二极管的发光亮度适中。 7)整个系统的供电为:直流5V单电源供电。

5、原理简介 6、参考电路板图 7、制作实物照片

8、参考程序 ORG 0000H LJMP MAIN ORG 0030H MAIN: MOV R0,#16 MOV R1,#1 BJ: CJNE R1,#1,M2 MOV DPTR,#TAB1 ACALL XH M2: CJNE R1,#2,M3 MOV DPTR,#TAB2 ACALL XH M3: CJNE R1,#3,M4 MOV DPTR,#TAB3 ACALL XH M4: CJNE R1,#4,M5 MOV DPTR,#TAB4 ACALL XH M5: CJNE R1,#5,M6 MOV DPTR,#TAB5 ACALL XH M6: CJNE R1,#6,M7 MOV DPTR,#TAB6 ACALL XH M7: CJNE R1,#7,M8 MOV DPTR,#TAB7 ACALL XH M8: CJNE R1,#8,M9 MOV DPTR,#TAB8

广告彩灯控制电路设计课设

目录 引言 (2) 1设计意义及要求 (3) 1.1设计意义 (3) 1.2设计要求 (3) 2方案设计 (4) 2.1设计思路 (4) 2.2方案设计 (4) 2.2.1方案一及其电路图 (4) 2.2.2方案二及其电路图 (5) 2.3方案比较 (6) 3部分电路的设计 (7) 3.1脉冲源的设计电路 (7) 3.2 4017控制电路 (9) 3.3 同右同左控制电路 (11) 3.4 回馈控制电路 (15) 4调试与检测 (16) 4.1调试中故障及解决办法 (16) 4.2调试与运行结果 (16) 5 仿真操作步骤及使用说明 (17) 6元件名细表 (18) 7附录电路图 (19) 8结束语 (20) 本科生课程设计成绩评定表

引言 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度发展。 在现实生活中,我们经常遇到花样多变的广告彩灯,所谓广告彩灯就是讲一系列有颜色的灯串联在一起,然后按一定次序逐个或者几个的依次点亮和熄灭。因此要设计出这种电路就要应用数字逻辑电路,本次试验依照要求要设计出三行彩灯依次点亮,三列彩灯从右向左移动从左向右移动,所以该课程设计将用到脉冲信号产生电路、4017控制电路、计数电路、译码电路及其他门电路。

广告彩灯控制电路设计 1 设计意义及要求 1.1 设计意义 (1)培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事的科学态度和勇于探索的创新精神。 (2)锻炼学生的自学软件的能力及分析问题、解决问题的能力。 (3)通过课程设计,使学生在理论计算,课程设计、查阅设计资料、标准和规运用和计算机应用能力得到训练和提高。 (4)固化、深化和扩展学生的理论知识与专业技能。 (5)为今后从事电子领域打下坚实的基础。 1.2设计要求 1.现有9只彩灯,试设计一控制电路,要求彩灯能实现如下追逐图案; 2.第一层3只红灯右移,每灯亮的时间为0.8秒; 3.第二层3只蓝灯右移,每灯亮的时间为0.8秒; 4.第三层3只黄灯右移,每灯亮的时间为0.8秒; 5.三色彩灯同时右移,每组灯亮的时间为1.6秒; 6.三色彩灯同时左移,每组灯亮的时间为1.6秒; 7.彩灯控制电路工作状态按照上述2至6步自动重复循环。

彩灯控制器

题目:彩灯控制器 姓名 学号 班级 指导教师 2014 年6 月28日

课程设计任务书

课程设计报告 前言 本次设计是利用数字电子技术,实现对彩灯的遥控,彩灯控制器在我门日常生活中有重要的运用,价格便宜,生产简单,故本次设计具有很好的使用价值 整个电路的设计借助于multisim 仿真软件,在multisim 下设计和进行仿真,得到了预期的结果。 一、系统组成及工作原理 1-1.系统组成框图 把四花样彩灯设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。系统可由三个模块组成。设计框图如图1-1所示: 图 1-1系统组成框图 1-2.工作原理分析 电路工作原理整体思路:由 555构成多谐振荡器,产生的脉冲作为模16和八位移位寄存器的时钟信号,控制着周期;74LS153选择一路工作,双D的输出作为74LS153的信号输入。 从多谐振荡器出来的脉冲信号分为两路:一路作为计数脉冲送到模十六计数器;另一路做为移位时钟脉冲加到移位寄存器。调节多谐振荡器的电阻可以改变振荡频率,即改变彩灯移动的速度,得到不同的动态效果。 多谐振荡器、双D 触发器、数据选择器共同组成一个电子开关。模16的进位输出脉冲经两个双D 触发器构成两位二进制计数器。调节开关电路的CP 脉冲产生电路的电阻,可以改变开关的切换时间用以选择每种花样出现时间的长短。 数据选择器的输出端接移位寄存器的输入端,在时钟脉冲的作用下,数据在移位寄存器的八位并行输出端从Q0到Q7顺序移动。移动的八位控制信号直接控制发光二极管的亮灭,于是出现了八路四花样自动循环切换的流水彩灯。 设计方案

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

彩灯控制电路

电子技术课程设计报告 学院: 专业班级: 学生姓名: 指导教师: 完成时间: 成绩:

彩灯控制电路设计报告 一.设计要求 (1).利用所学的电子技术知识搭建彩灯控制电路,实现16个彩灯依次循环闪烁。 (2).在搭建电路之前要先用Multisim画好电路原理图,因此要对Multisim软件熟悉,了解他的用途,能够独立完成电路的设计,学会分析电路故障,对元器件认识透彻,清楚各个芯片的结构 及用途。 (3).电路仿真后,能够根据自己设计的原理图搭建电路,并且调试成功。 二.设计的作用、目的 (1).通过电子技术的课程设计使学生能够对电子技术及应用有进一步的理解,同时也巩固了所学的模电与数电知识,使所学的电子技术应用于实际,贴近生活,走向社会,增加学习的动力。(2)掌握电子电路安装和调试的方法及其故障排除方法,学会用面包板对电路进行仿真。 (3).培养学生手动实践,搭建电路的能力,将理论与实际相结合的主要体现,使学生能够在学习理论知识的同时,对电子元器件及电子技术这门技术有更深入的认识。 (4).通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。培养自己的创新能力和创新思维。

三.设计的具体实现 1.系统概述 此电路由74LS14、74LS161、74LS138 组成。通过这些元件 和计数器的真值表,利用相关的电路实现彩灯循环闪烁功能。 当通电后每来一个脉冲,计数器74LS161 加1,输出1。3-8 译码器所接的发光二极管依此发光。则电路组成及工作原 理:彩灯控制器电原理图如下所示,其中74LS14 为电路提 供非门。

2.单元电路设计(或仿真)与分析(1).计数器:74LS161

彩灯控制器

北华航天工业学院 《EDA技术综合设计》 课程设计报告 报告题目:彩灯控制器综合实验作者所在系部:电子工程系 作者所在专业:自动化 作者所在班级:B08223 作者姓名:王磊 指导教师姓名:崔瑞雪 完成时间:2010.12.01

课程设计任务书

内容摘要 随着科技的发展, 在现代生活中, 彩灯作为一种景观应用越来越多。EDA技术的应用引起电子产品及系统开发的革命性变革, 现介绍了以VHDL为基础的十六路彩灯控制系统。同时减少了设计芯片的数量、缩小了体积、降低了功耗、提高了设计的灵活性、可靠性和可扩展性。在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段,而VHDL语言则是EDA的关键技术之一,它采用自顶向下的设计方法,即从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块,最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。本文介绍了基于EDA技的多路彩灯控制器的设计与分析。在MAX+PLUSII环境下采用VHDL语言实现,论述了基于VHDL语言和CPLD芯片的数字系统设计思想和实现过程。电子设计自动化技术EDA的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件(PLD)使得EDA 技术的应用走向普及。CPLD是新型的可编程逻辑器件,采用CPLD进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。而VHDL语言是EDA的关键技术之一,它采用自顶向下的设计方法,完成系统的整体设计。 关键词: VHDL,彩灯,仿真,控制

目录 一、设计要求 (1) 二、实验方案 (1) 三、实验原理 (1) 四、设计模块 (1) 五、程序仿真调试 (2) 六、程序下载与实现 (2) 七、实验结果分析 (3) 八、心得体会 (3) 参考文献 (4) 附录 (4)

多花样自动切换彩灯控制器的设计

课程设计任务书 学生姓名:专业班级:自动化1003班 指导教师:工作单位:自动化学院 题目: 多花样自动切换的彩灯控制器设计 初始条件: 1.运用所学的模拟电路和数字电路等知识; 2.用到的元件:实验板、电源、连接导线、74系列芯片、555芯片等。要求完成的主要任务: 1.现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案:2.第1种花样为彩灯从右到左,然后从左到右逐次点亮,全灭全亮, 3.第2种花样为彩灯两边同时亮1个逐次向中间移动再散开; 4.2种花样自动变换,循环往复。时间间隔为1秒。 5.严格按照课程设计说明书要求撰写课程设计说明书。 时间安排: 第1天下达课程设计任务书,根据任务书查找资料; 第2~4天进行方案论证,软件模拟仿真并确定设计方案; 第5天提交电路图,经审查后领取元器件; 第6~8天组装电路并调试,检查错误并提出问题; 第9~11天结果分析整理,撰写课程设计报告,验收调试结果; 第12~14天补充完成课程设计报告和答辩。 指导教师签名: 2012年 6月25日系主任(或责任教师)签名: 2012年 6月25日

前言 本次课程设计《电工电子综合》课程中,通常只介绍单元电路的设计、集成芯片的特性、功能等,而一个实用的电子系统通常是由多个单元电路组成的。因此,进行电子系统设计时,不但要考虑系统总体电路的设计,还要考虑系统各部分电路的选择、设计及它们之间的相互连接。由于各种通用和专用的模拟、数字集成电路的大量涌现,所以在电子系统的方案框图确定后,除少数电子电路的参数需要设计计算外,大部分只需根据电子系统框图各部分要求正确选用模拟和数字集成电路的芯片就可以了 电子系统的设计没有固定不变的步骤,它往往与设计者综合应用所学知识的能力、经验等有密切关系。常用电子系统设计通常包括:选择总体方案框图、单元电路设计与选择、电子元器件的选择、单元电路之间的连接、对电子系统进行电路搭试、对方案及单元电路参数进行修改、绘制总体电路,最后写出设计报告。 一个较为复杂的设计课题,通常需要对设计要求进行认真分析和研究,通过收集和查阅资料,在已学模拟和数字电子技术课程(电子技术)理论的基础上进行构思,从而提出实现设计要求的可能方案,并画出相应的框图。由于实现同一个设计要求的方案往往不止一个,这时就应对每一个设计方案的可行性及它们的主要优缺点进行比较,从而找出一个较为合理的设计方案。对于关键部分电路的可行性首先应在原理上要可行,而后还需进行电路搭试,只有搭试成功后才能确定电路的总体方案框图。 电子课程设计是对以前学科知识的综合,检验学生掌握电子学科的理论知识的程度,也是学科教学中的一个十分重要的环节。通过把理论与实践相结合,提高理论水平,锻炼实践动手能力。同时,对于学生对电子学科的学习兴趣也是有极大的激发作用,让同学在自己动手制作的过程中找到乐趣,加深对学科知识的理解及消化,为以后的学习打下良好的基础。 在当今的社会里,彩灯已经成为我们生活的一部分,能给我们带来视觉上的享受还能美化我们的生活。四花样彩灯控制器主要是通过电路产生有规律变化的脉冲信号来实现彩灯的各种变化。它的主要器件是寄存器。现如今寄存器是数字系统常见的重要部件,除在计算机中广泛用于存放中间数据外,它在其他方面的应用,目前在教材中只介绍可构成环形或扭环形计数器。本次实验由于触发器具有记忆的功能,笔者将移位寄存器设计成彩灯控制电路。由于电路本身实用,如果再通过计算机仿真,笔者可以直观地看到循环彩灯控制效果。如果稍微改动控制电路,就可以改变电路的不同工作状态,控制彩灯变幻出不同的闪烁效果。控制电路是由笔者学习过的单元电路组合而成的,主要由555振荡电路产生一矩形脉冲,然后由74LS112来控制各电路输入信号通断,74LS138和74LS194组成各种花式.进而控制彩灯亮灭的顺序,从而出现彩灯花样自动切换。 通过这一综合训练培养了学生严肃认真的工作态度和科学作风,为今后从事电路设计和研制电子产品打下初步基础,检验学生的理论实践的能力,动手能力,分析和处理问题的能力,自学能力。通过本次课设提高了大家的动手和动脑能力,增强了大家对电子方面的学习兴趣及自学能力,本次课程设计使大家学到了很多,知道了自己在哪一方面的不足,为今后的学习提供了方向,使大家有了个质的提高。

相关主题
文本预览
相关文档 最新文档