当前位置:文档之家› 四花样彩灯控制器设计

四花样彩灯控制器设计

四花样彩灯控制器设计
四花样彩灯控制器设计

四花样彩灯控制器设计

杨洋(安庆师范学院物理与电气工程学院安徽安庆 246011)

指导教师:刘娟

摘要:随着人们对城市美化要求的提高,在许多场合可以看到彩色霓虹灯的应用LED彩灯由于其丰富的灯光色彩低廉的造价和其控制简单等特点而得到广泛的应用。有些彩灯控制器实现的团单一,体积过大,应用起来极不方便,为了更好的美化环境,是彩灯控制器适应不同的地点,不同的环境,而通过小型的数字集成器来设计更为实用的彩灯控制器,本次课程设计的目的是设计一四花样自动切换的彩灯控制器,要求实现:彩灯一亮一灭,从左向右移动;彩灯两亮两灭,从左向右移动;四亮四灭,从左向右移动;从1~8从左到右逐次点亮,然后逐次熄灭;四种花样自动变换。

按照要求设计了电路,本电路使用555定时器构成振荡电路,555定时器是一种模拟和数字功能相结合的中规模集成器件,数据选择器采用74LS153,74LS153里面有两个地址码共用的4选1数据选择器,通过输入不同的地址码就可以控制输出Y选择4个输出数据中的1个。此器件在各种数字电路和单片机系统的显示系统中经常用到。

经过一系列的分析、准备。本次课题设计除在美观方面处理得不够得当之外。本次电路设计完成全部的设计要求。

关键字:555定时器;数据选择器;LED;四花样彩灯控制器

第一章设计要求

1.1 设计课题及要求

(一)题目:四花样彩灯控制器

(二)基本要求:设计一四花样自动切换的彩灯控制器,要求实现

(1) 彩灯一亮一灭,从左向右移动;

(2) 彩灯两亮两灭,从左向右移动;

(3) 四亮四灭,从左向右移动;

(4) 从1~8从左到右逐次点亮,然后逐次熄灭;

(三)主要参考元器:

555定时器,模十六计数器74LS161,双D触发器74LS74,与门74LS08,非门74LS04,四选一数据选择器74LS153,八位移位寄存器74LS164。

二、系统组成及工作原理

2.1工作原理分析

从多谐振荡器出来的脉冲信号分为两路:一路作为计数脉冲送到模十六计数器;另一路做为移位时钟脉冲加到移位寄存器。调节多谐振荡器的电阻可以改变震荡频率,即改变彩灯移动的速度,从而产生不同的动态效果。

一个电子开关由多谐振荡器、双D触发器、数据选择器组成。多谐振荡器输出的计数脉冲经双D触发器两位二进制计数器,在它的两个输出端得到00、01、10、11四种逻辑状态。这四个状态作为数据选择器的四个数据通道选择信号,对应从模十六计数器输送到数据选择器的QA,QB,QC,QD四个分频信号。它的作用相当于一个受控的一刀四位的机械转换开关。当双D触发器输出为“00”时,数据选择器输出10000000序列脉冲,为八分频信号,实现花样一;为“10”时,数据选择器输出11000000序列脉冲,为八分频信号,实现花样二;为“01”时数据选择器输出11110000序列脉冲,为八分频信号,实现花样三;为“11”时数据选择器输出1111111100000000序列脉冲,为十六分频信号,实现花样四。调节开关电路的CP脉冲产生电路的电阻,可以改变开关的切换时间用以选择每种花样出现时间的长短。

数据选择器的输出端接移位寄存器的输入端,在时钟脉冲的作用下,数据在移位寄存器的八位并行输出端从Q0到Q7顺序移动。移动的八位控制信号直接控制发光二极管的亮灭,就出现了八路四花样自动循环切换的流水彩灯。

2.2 系统组成框图

把四花样彩灯设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。系统可由四个模块组成,它们分别是:四种码产生电路、开关电路、数据输出、时钟电路。

由两个555构成两个时钟电路,由模十六计数器和组合逻辑门构成四种码产生电路,由双D触发器和数据选择器构成开关电路,由移位寄存器和八个彩灯构成输出电路,一个时钟控制模十六计数器和移位寄存器,另一个时钟控制双D触发器。

三、电路方案设计

3.1 电路图设计

本电路图设计简单、结构清晰,可分为四种码产生电路、开关电路、输出电路和时钟电路这四个模块。四种码产生电路由模十六计数器和组合逻辑门构成,开关电路由双D触发器和数据选择器构成,输出电路由移位寄存器和彩灯构成,时钟电路由两个555构成。

通过改变多谐振荡器的电阻可改变彩灯流动的速度和各花样持续的时间,实现了彩灯花样的动态变化,增强了控制器的灵活性。

3.2 电路图仿真

四单元电路设计与计算

4.1 四种码产生电路

根据彩灯要实现的四花样,可确定移位寄存器输出的二进制码,即四种码产

生电路要产生的码,如表4-1所示:

表4-1 四种码

表4.2

由表4-2分析得:

Z1=Q3Q2Q1

Z2=Q3Q2

Z3=Q3

Z4=Q4

所以四种码产生电路如图4.2所示:

图 4.1 四种码产生电路

4.2时钟脉冲产生电路

用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,其周期为:

T=0.7(R

1+2R

2

)C………………………(4-1)

要用两个555产生两个时钟脉冲,一个控制74LS161模十六计数器和八位移位寄存器,要能看到彩灯的流动,其周期设为1秒左右, 电阻值和电容值可设为:

R 1=1KΩ, R

2

=51KΩ, C=0.01μF

由公式(4-1)计算得:T=0.721S 电路图如图4.2所示:

图4.2 时钟电路

另一个555产生的矩形脉冲控制彩灯的自动转换,其周期设为模十六计数器的20倍,改变R1、R2的阻值即可,可设为:

R 1=1KΩ, R

2

=1MΩ,C=0.01μF

由公式(4-1)计算得:T=14.42S

4.3彩灯开关电路

要实现彩灯四花样的自动转换,就要使四选一数据选择器74LS153循环地输

出Z

1、Z

2

、Z

3

、Z

4

。使双D触发器的输出端接数据选择器的两个地址输入端,双D

触发器能产生00、01、10、11这四钟循环的状态,从而使选择器循环的选择一种码输出,实现彩灯的四花样循环。

IRT UAL

开关电路图如图4.3所示:

图 4.3 开关电路

令Q1Q2=AB,74LS153数据选择器的功能表如表4-3所示:

表4-3 数据选择器功能表

由表4-3可知,数据选择器的地址输入端A、B循环转变,输出端1Y循环选择四种码Z1、Z2、Z3、Z4输出,使彩灯的四花样自动循环改变。

4.4 花样输出电路

输出电路由八位移位寄存器74LS164、八个彩灯和八个驱动电阻构成。寄存器的数据输入端接收开关电路输出的四种码,这四种码在移位寄存器的八位并行输出端从QA向QH移动,输出四种彩灯花样。

当输入移位寄存器数据输入端的码为10000000时,清零后在移位脉冲CP的作用下,寄存器数码移动情况如表4-4所示:

表4-4 寄存器数码移动情况表

由表4-4可看出,输入码中的那位高电平“1”从寄存器的输出端QA经八个移位脉冲CP作用后逐渐到了QH,使输出端所连接的彩灯依次点亮,实现了彩灯依次点亮的花样。当输入另外的三种码时,寄存器的数码移动原理相似,所以就不累

赘了。

实验结果:

1)一个灯时: 2)两个灯:

四个灯时: 4)8个灯:

五、安装调试

5.1电路板安装及检查

一、将原件插在电路板上,按电路图进行连线,并用电烙铁进行焊接

注意事项:

电路比较复杂,需要接线很多,焊点也很多,且焊点集中,焊接时一定注意不要将不该焊到一起的点焊一起了。

1、注意芯片的用法,特别是管脚的正确连接。

2、注意不要忘了将芯片的Ucc端和GND端接到电路上。

3、注意LED的正负极。

二、依次检查电路板的连线,看有没有焊错、虚焊或短路的地方,检查各芯片的电源和地是否接上,并调整过来。检查无误后进行调试

5.2 调试

前面的检查无问题后,接通电源,进行调试,根据彩灯的变化情况,确定可能的原因,分析是哪个功能模块出了问题,用数字万用表检查各模块的功能,发现并改正错误,直到符合要求为止。

5.3 故障及分析

彩灯无规律变化:原因可能是四种码的产生电路不能产生符合要求的四种

码,检查模十六计数器的CP脉冲是否稳定,看74LS161是否能计数,即Q

A 、Q

B

Q C 、Q

D

是否周期性的高低电平变化,前面没问题,再检查与门74LS08和非门74LS04

是否能实现它们逻辑功能。

结论

这个实验关键在于对设计要求的理解分析以及对基本电路相关知识的熟练掌握。设计电路时,先将总体的功能分成多个部分来实现,这对电路设计思路的简化能起到很好帮助;并且能搞清各个模块的功能与实现要求操作的具体方法,对之后电路的检查也是很有帮助。

通过这次实验设计,学到了很多东西,如查找资料,设计比较,从各种图中提取所需。焊接时学到了好多,如何布线等等,调试时也是,如何来对电路检查、修复故障。并且,这次课设还让我们学到很多,包括焊接技术。也吸取了很多教训。真正提高了动手能力,学会获取资料,活跃了自己的思维,巩固了所学知识。

参考文献

[1] 阎石主编.数字电子技术基础(第5版),北京:高等教育出版社,2006.

[2] 余孟尝主编.数字电子技术基础简明教程,北京:高等教育出版社,2006.

[3] 候建军编.数字电子技术基础(第2版),北京:高等教育出版社,2007.

[4] 李春茂改编.电子技术基础-Electronics(英文改编版),北京:电子工业出版社,2005.

[5] 弗洛伊德(Floyd,T.L.)(美)著,余璆改编.Digital Fundamentals (Ninth Edition),北京:电子工业出版社,2008.

[6] 罗杰主编,电子技术基础(数字部分第5版)习题全解,北京:高等教育出版社,2008.

附录一元器件清单和芯片管脚1元器件清单:

附录2各芯片管脚图

1. 模十六计数器74LS161,芯片管脚图如图(a)所示:

2. 双四选一数据选择器74LS153,芯片管脚图如图(b)所示:

图 74LS161和74LS153管脚图

3. 8位移位寄存器74LS164,芯片管脚图如图

4.5所示

图 4.5 74LS164管脚图

课程设计--四花样彩灯控制器

课程设计--四花样彩灯控制器

2012 ~ 2013 学年第二学期 《数字电子技术》 课程设计报告 题目:四花样彩灯控制器 专业:电子信息工程 班级: 11 电信一班 姓名:孙叶林陶轮汪宏俊汪义涛王安 亚 王劲松王亮亮王向阳魏伟指导教师:周旭胜 电气工程系 2013年5月30日

任务书 课题名称四花样彩灯控制器 指导教师(职称)周旭胜 执行时间2012~ 2013 学年第 2学期第 14 周学生姓名学号承担任务 王安亚1109121033 设计总电路图1 汪宏俊1109121031 设计总电路图2 陶轮1109121030 负责对比两个总电路图 汪义涛1109121032 设计555时钟脉冲产生电路 王向阳1109121036 设计四种码产生电路 王劲松1109121034 设计输出电路 魏伟1109121037 设计开关电路 王亮亮1109121035 查找参考资料 孙叶林1109121029 负责写课程设计报告 设计目的 通过设计方案的比较,对比电路的复杂与简单,器件的市场价格等方面因素,来选择一种比较好的可行性设计方案 设计要求(1) 彩灯一亮一灭,从左向右移动; (2) 彩灯两亮两灭,从左向右移动; (3) 四亮四灭,从左向右移动; (4) 从1~8从左到右逐次点亮,然后逐次熄灭; (5) 四种花样自动变换。

摘要 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。优易LED全彩灯光控制系统由Color Edit编辑软件、主控器、分控器和LED光源组成,广泛应用于城市景观、风景名胜、道路桥梁、建筑轮廓、娱乐场所、户外广告、室内装饰等美化、亮化工程。 四花样自动切换的彩灯控制器,其电路简单、取材容易,而且被广泛地应用与现实生活当中。例如用于店面装饰可以增加其美观,吸引更多顾客。 在经过了几天紧张的电路焊接和调试,期间还进行了部分方案的修改和改进,现已实现了课程设计的主要任务和具体要求。 关键字:LED彩灯硬件电路

彩灯控制器课程设计数电

电子技术课程设计 ---彩灯控制器 学院:电子信息工程学院 班级: 学号: 指导教师:

彩灯控制器 一、设计任务与要求: 设计一个彩灯控制器,要求: 1.四路彩灯从左向右逐次渐亮,间隔为1秒。 2.四路彩灯从右向左逐次渐灭,间隔为1秒。 3.四路彩灯同时点亮,时间间隔为1秒,然后同时变暗,时间为1秒,反复4 次。 二、总体框图 图(1)总体框图 根据设计要求,电路设计大体思路如下: 由脉冲发生器发出频率脉冲信号,利用计数器加法计数功能输出0000~1111的脉冲信号,经过数据选择器分别在0000~0011,0100~0111,1000~1111三个时段输出不同的高低电平,控制移位寄存器实现右移→左移→置数功能,从而控制

彩灯按照设计要现亮灭。 三、选择器件 本次课程设计所用器件如表一: 表一本次课程设计所用器件 1.同步二进制计数器74LS163

表二7-3 74LS163功能表 根据逻辑图、波形图、功能表分析,74LS163具有如下功能: 管脚图逻辑符号 1)1是同步4位二进制加法计数器,M=16,CP上升沿触发 2)2既可同步清除,也可异步清除。同步清除时,清除信号的低电平将在下一 个CP上升沿配合下把四个触发器的输出置为低电平。异步清除时,直接用 清除信号的低电平把四个触发器的输出置为低电平。 3)3同步预置方式:当LD = 0时,在CP作用下,计数器可并行打入预置数据. 当LD = 1时,使能输入PT同时为高电平,在CP作用下,进行正常计数。 4)PT任一为低时,计数器处于保持状态。 5) 5 CO为进位输出,可用来级联成n位同步计数器。 2.四位双向移位寄存器74LS194

EDA课程设计:八路彩灯控制器

EDA课程设计 设计题目:基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.通过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为和。三种花型分别是: (1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。 (2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。 四、实验环境 PC机一台;软件QuartusⅡ 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。 二选一模块:选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。

2、系统硬件单元电路设计 1.分频模块设计 实验程序:library ieee; use fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin process(clk) variable clkk1:std_logic:='0'; begin if clk'event and clk='1' then clkk1:= not clkk1; end if; clkk<=clkk1; end process; end behav; RTL电路图: 波形图:

花样彩灯的设计.(DOC)

西安电子科技大学 《数字电子技术》课程设计 题目花样彩灯的设计 学生姓名 专业班级11 学号 院(系)信息工程学院 指导教师 完成时间2013年11月28日

目录 1 设计内容及要求 (1) 1.1 设计内容 (1) 1.2 设计要求 (1) 1.3 主要参考元器件 (1) 1.4 电路原理 (1) 1.5 工作原理分析 (2) 2 方案设计 (3) 2.1 方案的设计 (3) 2.2 工作原理分析 (3) 2.3 方案设计 (4) 3 单元电路分析 (7) 3.1 时钟脉冲产生电路 (7) 3.2 周期的计算 (7) 4 焊接与调试及测试结果分析 (8) 4.1 焊接 (8) 4.2 调试 (8) 4.3 测试 (9) 5 总结 (10)

参考文献 (12) 附录1:元器件清单 (13) 附录2:实物图 (14)

1 设计内容及要求 1.1 设计内容 设计一四花样自动切换的彩灯控制器。 1.2 设计要求 (1)彩灯一亮一灭,从左向右移动。 (2)彩灯两亮两灭,从左向右移动。 (3)四亮四灭,从左向右移动。 (4)从1~8从左到右逐次点亮,然后逐次熄灭。 (5)四种花样自动变换。 1.3 主要参考元器件 芯片:555定时器,模十六计数器74LS161,双D触发器74LS74非门74LS04,四选一数据选择器74LS153,八位移位寄存器74LS164。 1.4 电路原理 彩灯控制器电原理。IC由555接成多谐振荡器。3由4位2进制计数器74LS93接成16进制计数器,其4个输出端可分别输出对计数脉冲的2、4、8、16分频信号。4是双D触发器74LS74,在这里接成两位2进制加法计数器。5是双4选l数据选择器74LSl53,这里只用了它的一组4选1数据通道。6是3位单向移位寄存器74LSl64,它是产生移动灯光信号的核心器件。驱动电路用8只三极管组成8路射随器作缓冲放大,去触发作电流开关的8只双向可控硅,以控制彩灯发光。电路的十5V电源由220V 至9V变压器降压,经D1至D4桥式整流,7805稳压后给控制

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

基于PLC的花样彩灯控制系统设计课程设计

专业课综合课程设计说明书基于PLC的花样彩灯控制系统设计

目录 摘要 (1) 1 前言 (2) 2 PLC概述 (3) 2.1 PLC的定义 (3) 2.2 PLC的发展历程 (3) 2.3 PLC的特点 (3) 2.3.1 高可靠性、抗干扰能力强 (3) 2.3.2 丰富的I/O接口模块 (4) 2.3.3 配套齐全、功能完善、适用性强 (4) 2.3.4 易学易用,深受工程技术人员欢迎 (4) 2.3.5 系统的设计、建造工作量小,维护方便,容易改造 (4) 2.3.6 体积小,重量轻,能耗低 (4) 2.4 PLC的应用领域 (5) 2.5 三菱PLC-FX2N系列可编程控制器简介 (6) 3 设计任务与要求 (7) 4 花样彩灯方案设计 (8) 4.1 彩灯常见的工作模式 (8) 4.2 确定输入输出设备,选择PLC类型 (8) 4.3 确定I/O分配表 (8) 5 系统硬件设计 (9) 5.1 PLC选型 (9) 5.2 组成原理 (10) 5.3 PLC外部接线原理图 (10) 6 软件设计 (11) 6.1 初始化程序 (11) 6.2 主控输出程序 (12) 6.3 系统调试方法 (12)

6.4 系统调试及结果分析 (13) 7 小结 (15) 参考文献 (16)

摘要 随着科学技术的飞速发展,在现代生活中,彩灯作为一种景观应用越来越多。针对PLC日益得到广泛应用的现状,文章介绍了PLC对大型演出现场的彩灯进行控制,并给出其PLC控制系统的接线图和梯形图程序设计。该设计具有可编程性、线路简单、可靠性高等特点,提高了系统的灵活性及可扩展性,包括对变换类负载、舞台流水灯、大型标语牌底色流水灯的控制,以营造良好的现场灯光氛围,并且便于起停、控制、检修,节约人力物力。仿真结果验证了该设计的实用性。 关键词:PLC,彩灯控制,设计,仿真

单片机控制的花样彩灯设计

课程设计报告书 题目: 花样彩灯控制系统 专业:电气工程 学号: 0401100102 学生姓名:杜世会 指导教师:赵阳 日期:2012-6-22 河南工业职业技术学院 课程设计(论文)任务书

电气工程系电气自动化 1001 学生:杜世会 指导教师:赵阳 助理指导教师(并指出所负责的部分):

教研室:教研室主任: 目录 1引言 (4) 2 设计原理 (5) 2.1MCS51 (5) 2.2 LED (6) 2.3中断指令 (8) 2.4硬件接原理图 (9) 3程序流程图 (11) 3.1主流程图 (11) 3.2流水灯子流程图 (12) 3.3中断程序流程图 (13) 4汇编程序 (14) 4.1主程序 (14) 4.2一秒钟定时程序 (17) 5小结 (18) 参考文献 (19) 1.1引言 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。 LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰已经成为一种时尚。但目前市场上各式样的 LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。因此有必要对现有的彩灯控制器进行改进。 课程设计是学完一门课后应用本课知识及以前的知识积累而进行的综合性、开放性的训练,

是培养学生工程意识和创新能力的重要环节。进一步巩固和加深“单片机”课程的基本知识,了解单片机设计知识在实际中的应用。综合运用“单片机”课程和先修课程的理论及生产实际知识去分析和解决电路设计问题,进行单片机电路设计的训练。学习单片机设计电路的一般方法,了解和掌握单片机电路的设计过程和进行方式,培养正确的设计思想和分析问题、解决问题的能力,特别是总体电路设计能力。通过计算和绘图,学会运用标准、规范和查阅有关技术资料等,培养单片机电路设计的基本技能。 该程序示例了单片机键盘控制p1口流水灯花样的方法;具体表现为:p3.2 3.2 3.4 3.5四个小本文提出了一种基于AT89S51单片机的彩灯控制方案,实现对LED 彩灯的控制。按键,分别实现了四个控制。通过这次实验,我们也了解了团队合作的重要性,集体的力量是伟大的,一个人如何融入一个团队是是一个重要问题,讲究合作才能取得最后的成功! 2设计原理 2.1 MCS51单片机 引脚说 明 MCS 单片机都采用40引脚的双列直插封装方式。图2-9为引脚排列图, 40条引脚说 明如下: 1、主电源引脚Vss 和Vcc ① Vss 接地 ② Vcc 正常操作时为+5伏电源 2、外接晶振引脚XTAL1和XTAL2 ① XTAL1内部振荡电路反相放大器的输入端,是外接晶体的一个引脚。当采用外 部振荡器时,此引脚接地。 ② XTAL2内部振荡电路反相放大器的输出端。是外接晶体的另一端。当采用外部振荡器时,此引脚接外部振荡源。 3、控制或与其它电源复用引脚ALE/PROG ,PSEN 和 RST/VPD , P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.7 8RST/VPD 9RXD P3.010TXD P3.111INT0 P3.212INT1 P3.313T0 P3.414T1 P3.515WR P3.616RD P3.717XTAL218XTAL119VSS 20 P2.0 21 P2.122P2.223P2.324P2.425P2.526P2.627P2.728PSEN 29ALE/PROG 30EA/VPP 31P0.732P0.633P0.534P0.435P0.336P0.237P0.138P0.039VCC 408031 8051 8751

彩灯控制器的设计电子课程设计

彩灯控制器的设计 一.内容提要: 随着社会的发展,街道、商场或公共场所通常都装有各种五彩斑斓的灯饰,这些霓虹灯既可以美化人们的生活空间,也给这个社会增添了不少色彩。特别是每逢节日晚上都能看到街道旁都挂起五彩缤纷彩灯,给人一种节日的气氛。然而,彩灯作为我们生活中的一部分,我们既要知道其然,还要知其之所以然。因此,我们有必要去研究彩灯的工作原理。本次设计主要阐述了由电子电路设计一个彩灯控制器,控制红绿黄三个灯,按一定规律依次点亮。即由电子电路实现一个可循环效果的彩灯控制器。 本设计主要讲述了彩灯控制器的工作原理以及其各个组成部分,记述了我在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及对它们的调试、对调试结果的分析,到最后得到比较满意的实验结果的方方面面。 二设计主要要求及指标 1、控制红、绿、黄一组彩灯循环闪亮,变化的规律是:红→红绿→绿→ 黄绿→黄→全亮→全灭→红,如此循环,产生“流水”般的效果。 2、彩灯白天不亮,夜晚自动亮。 3、“流水”的速度由快到慢,再由慢到快循环变化。 三、设计提示 原理框图如图1所示 1、彩灯的亮灭共有七种情况,可设计一个七进制的计数器,用计数器的状态控 制彩灯的亮灭;计数器应能够自启动。 2、“流水”的速度的控制可用两片555定时器电路实现。两片555接成振荡频 率不同的多谐振荡器,用频率低的振荡器上积分电容的三角波信号,调制另一个频率高的振荡器的振荡频率,使其高低往复变化。 3、用光敏器件(光敏电阻、光敏二极管、光敏二极管等)检测周围环境的光强, 以区分白天、夜夜,控制彩灯的亮灭。

图1 彩灯控制器框图 四.设计思路 根据题目要求,整体结构为脉冲信号源输出一定频率的脉冲给七进制计数器,七进制计数器受脉冲控制输出Q 1、Q 2、Q 0的不同状态,从而控制逻辑电路,逻辑电路输出控制彩灯的亮灭,达到要求。 根据所学内容,可分别确定所需元件,脉冲信号源有很多种,但要频率可控,可采用CB555定时器组成的多谐振荡器和滑动变阻器完成,多谐振荡器是常用的一种矩形波发生器,滑动变阻器通过改变其内部电阻来改变其输出矩形波的频率。七进制计数器可通过74LS160型同步十进制计数器改接而得。逻辑电路可使用74LS138型3位二进制译码器控制彩灯。 光敏器件检测电路 脉冲发生 七进制计数逻 辑 电 路 红 黄 绿 Q2 Q1 Q0 D CP 加减控制 循环结束结束判别 时钟快慢 控制

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

四花样彩灯控制器设计

四花样彩灯控制器设计 杨洋(安庆师范学院物理与电气工程学院安徽安庆 246011) 指导教师:刘娟 摘要:随着人们对城市美化要求的提高,在许多场合可以看到彩色霓虹灯的应用LED彩灯由于其丰富的灯光色彩低廉的造价和其控制简单等特点而得到广泛的应用。有些彩灯控制器实现的团单一,体积过大,应用起来极不方便,为了更好的美化环境,是彩灯控制器适应不同的地点,不同的环境,而通过小型的数字集成器来设计更为实用的彩灯控制器,本次课程设计的目的是设计一四花样自动切换的彩灯控制器,要求实现:彩灯一亮一灭,从左向右移动;彩灯两亮两灭,从左向右移动;四亮四灭,从左向右移动;从1~8从左到右逐次点亮,然后逐次熄灭;四种花样自动变换。 按照要求设计了电路,本电路使用555定时器构成振荡电路,555定时器是一种模拟和数字功能相结合的中规模集成器件,数据选择器采用74LS153,74LS153里面有两个地址码共用的4选1数据选择器,通过输入不同的地址码就可以控制输出Y选择4个输出数据中的1个。此器件在各种数字电路和单片机系统的显示系统中经常用到。 经过一系列的分析、准备。本次课题设计除在美观方面处理得不够得当之外。本次电路设计完成全部的设计要求。 关键字:555定时器;数据选择器;LED;四花样彩灯控制器 第一章设计要求 1.1 设计课题及要求 (一)题目:四花样彩灯控制器 (二)基本要求:设计一四花样自动切换的彩灯控制器,要求实现 (1) 彩灯一亮一灭,从左向右移动; (2) 彩灯两亮两灭,从左向右移动; (3) 四亮四灭,从左向右移动; (4) 从1~8从左到右逐次点亮,然后逐次熄灭; (三)主要参考元器: 555定时器,模十六计数器74LS161,双D触发器74LS74,与门74LS08,非门74LS04,四选一数据选择器74LS153,八位移位寄存器74LS164。

数电课程设计-四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级:110422 学号:姓名:陈粤龙 评分:教师: 20 13 年9 月23 日 数字电路课程设计任务书20 12 -20 13 学年第 1 学期第19 周-20周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换。 进度安排 1.?布置任务、查阅资料、选择方案、领仪器设备:2天 2.?仿真、画PCB线路图:2天 3.?领元器件、制作、焊接:3天 4.?调试:2天 5.?验收:1天 6.?提交报告:2013-2014学年第一学期?2-3周 学生姓名:陈粤龙

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。 前 言 彩灯控制器有着非常广泛的运用,如:LED 彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一 指导时间:2周 指导地点: E610 任务下达 2013年 9月 22日 任务完成 2013 年 9 月 25日 考核方式 1.评阅 □ 2.答辩 □ 3.实际操作□ 4.其它 □ 指导教师 系(部)主任 摘 要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D 触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D 触发器。当彩灯完成一种花样时,双D 触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲 分频 自动转换 控制器 数据选择器

课程设计----四路彩灯显示系统设计

数字电路逻辑设计 实 验 报 告 设计题目: 专业班级: 姓名: 学号:

设计课题:四路彩灯显示系统设计 1.设计任务和要求 设计一个四路彩灯控制器,设计要求如下: (1)接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2)设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s,共用4s; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间也 为4s。 (3)三个节拍完成一个循环,一共需要12s。一次循环之后重复进行闪烁。 2. 设计分析 四路彩灯既有四路输出,设依次为d Q、c Q、b Q、a Q,若“1”表示灯亮,“0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示

由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s 后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制可以用一个模12计数器来完成;彩灯渐亮、渐灭可以用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s 、同时灭0.5s 可考虑把1Hz 的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为012Y YY 有效时间应为4秒,0Y 结束1Y 马上开始,1 Y 后2Y 马上开始,如此循环不断。为此可考虑采用移位寄存器构成的移位型控制器。由于有三个状态,因此需要用三个触发器对现时状态进行记忆,为使各状态的有效时间间隔为4秒,则驱动该移位控制器动作时钟周期应为4秒。应在开机瞬间,使移位型控制器的状态被确定下来,即012Y YY 节拍应为100,可控制输入信号使触发器置位、复位来实现。 为实现0Y 功能要求器件具有右移功能,为实现1Y 功能要求器件有左移功能;而且左、右移输入可为“0”也可为“1”;为实现2Y 功能,要求器件同时具有并行置数功能。因此可选用一种具有左移、右移和并行置数功能的通用移位寄存器74LS194。74LS194具有并行输入端A 、B 、C 、D ,并行输出端A Q 、B Q 、C Q 、D Q ,右移输入端SR ,左移输入端SL 和模式控制输入端0S ,1S 以及一个无条件直接清除端CLR 。模式控制输入0S ,1S 有00、01、10、11四种组合方式,分别表示双向移位寄存器所具有的四种功能,即禁止、右移、左移和并行置数。为了使当 012Y YY =100时,01S S =01(右移),012Y YY =010时,01S S =10(左移),当012Y YY =001时01S S =11(并行置数)。 74LS194的输出端初态均为零,在开机瞬间,使移位控制端01S S 的状态被确 定下来,即 012Y YY =100时,01S S =01 右移串行数据输入端 SR 经脉冲信号经四分频电路和 通过两或门组成的节拍电路,使四路彩灯从右到左依次亮共 4秒 ,

课程设计报告(彩灯控制器)

西安文理学院机械电子工程系 课程设计报告 专业班级电子信息工程(1)班 课程数字电子技术 题目彩灯控制器 学号 学生姓名 指导教师 2010年 1月

西安文理学院机械电子工程系 课程设计任务书 学生姓名专业班级学号 指导教师职称教授教研室 课程数字电子技术 题目 彩灯控制器 任务与要求 1.掌握NE555定时器的原理及使用方法。 2.学习74LS138译码器的使用方法。 3.掌握CD4040计数器的使用方法。 4.按照设计图连好电路,实现所需功能。 5.攥写课程设计报告 开始日期 2009年12月28日完成日期2010年1月8日 2010年1月8日

目录 设计目的 (1) 设计任务和要求 (1) 总体设计方案 (2) 功能模块设计与分析 (5) 电路的安装与调试 (8) 实验仪器及元器件清单 (11) 心得体会 (10) 附录一系统电路图 (9)

一、设计目的 用CD4040芯片、LS138芯片、NE555芯片完成彩灯控制器。 二、设计要求和任务 通过循序渐进地独立完成数字电路的设计任务,加深对理论知识的理解,有效地提高了动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。重点提高在数字电路应用方面的实践技能,树立严谨的科学作风,培养综合运用理论知识解决实际问题的能力。通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 基本要求: (一).掌握数字逻辑电路分析和设计的基本方法 1.根据设计任务和指标,初选电路; 2.通过调查研究、设计计算,确定电路方案; 3.选测元器件,安装电路,独立进行试验,并通过调试改进方案; 4.分析课程设计结果,写出设计报告。 (二).培养一定自学能力和独立分析问题、解决问题能力 1.学会分析、找出解决问题的方法; 2.对设计中遇到的问题,能独立思考,查阅资料,寻找答案; 3.掌握一些测试电路的基本方法,课程设计中出现一般故障,能通过“分析、观察、判 断、试验、再判断”的基本方法独立解决; 4.能对课程设计结果进行分析和评价。 (三).掌握安装、布线、调试等基本技能 1.掌握常用的仿真软件,并能够利用仿真软件进行一定的电路调试、改进; 2.掌握数字电路布线、调试的基本技巧; 3.巩固常用仪器的正确使用方法。 (四).培养实践能力 通过严格的科学训练和工程设计实践,树立严肃认真、一丝不苟、实事求是的科学作风,并培养团结协作的精神。

花样彩灯控制器

制作名称:花样彩灯控制器 1、制作编号:003 2、难度等级:★★★(适合第4学期制作) 3、设计要求 1)以单片机AT89S51作为主控芯片,设计一个花样彩灯控制器。 2)单片机要求具有自动上电复位功能,振荡器采用11.0592MHz晶振。 3)要求该控制器连接多个发光二极管,发光二极管能够以多种方式(花样)显示,花样新颖,发光二极管的变换频率要适中。 4)用两个按键来控制在多种花样之间的切换。 5)用一个发光二极管的亮灭来显示按键的切换效果。 6)合理选择连接于发光二极管电路上的限流电阻的阻值大小,保障发光二极管的发光亮度适中。 7)整个系统的供电为:直流5V单电源供电。

5、原理简介 6、参考电路板图 7、制作实物照片

8、参考程序 ORG 0000H LJMP MAIN ORG 0030H MAIN: MOV R0,#16 MOV R1,#1 BJ: CJNE R1,#1,M2 MOV DPTR,#TAB1 ACALL XH M2: CJNE R1,#2,M3 MOV DPTR,#TAB2 ACALL XH M3: CJNE R1,#3,M4 MOV DPTR,#TAB3 ACALL XH M4: CJNE R1,#4,M5 MOV DPTR,#TAB4 ACALL XH M5: CJNE R1,#5,M6 MOV DPTR,#TAB5 ACALL XH M6: CJNE R1,#6,M7 MOV DPTR,#TAB6 ACALL XH M7: CJNE R1,#7,M8 MOV DPTR,#TAB7 ACALL XH M8: CJNE R1,#8,M9 MOV DPTR,#TAB8

音乐彩灯控制器(完整版)

摘要 电力电子技术产业作为当代高新技术尤其是信息技术产业与传统产业的接口,在国民经济中扮演着越来越重要的角色。此设计论述了彩灯的总体控制,彩灯将会随着音乐的节奏闪亮,大大的改善了人们的娱乐环境,人们将在音乐和灯光当中消除工作一天的疲惫,并且彩灯的控制不需要人为的操作控制,将会完全自动的运行,使人们感受到了娱乐场所的智能化,人性化。此设计采用了平时常用的集成电路,包括时钟电路、阶梯波电路、滤波器等等,将会很清晰的呈现出它的工作原理,它是电子技术的实例应用。关键词:电子技术音乐彩灯集成电路。II Abstract As the joint between the present hi-Tech industry especially the informationtechnology industry and tradition industry, power electronics industry has been playing amore and more important role in the country economy. Although this industry in Chinahas made some progress through developing more than 30 years, compared with thedeveloped countries the gap is still quite big. This industry can"t meet the demands of thecountry economy development in china. Constituting a series of systemic and scientificdeveloping strategy to speed this industry is the purpose of this paper. The importanteffects of this industry on country economy are discussed, the shaping and developingcondition of this industry is analyzed, and the advantages and disadvantages of thisindustry in China are pointed in this paper. On the basis of referring the advancedexperience of developed countries. keyword: electronic technique music illumination unicircuit

彩灯控制器

题目:彩灯控制器 姓名 学号 班级 指导教师 2014 年6 月28日

课程设计任务书

课程设计报告 前言 本次设计是利用数字电子技术,实现对彩灯的遥控,彩灯控制器在我门日常生活中有重要的运用,价格便宜,生产简单,故本次设计具有很好的使用价值 整个电路的设计借助于multisim 仿真软件,在multisim 下设计和进行仿真,得到了预期的结果。 一、系统组成及工作原理 1-1.系统组成框图 把四花样彩灯设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。系统可由三个模块组成。设计框图如图1-1所示: 图 1-1系统组成框图 1-2.工作原理分析 电路工作原理整体思路:由 555构成多谐振荡器,产生的脉冲作为模16和八位移位寄存器的时钟信号,控制着周期;74LS153选择一路工作,双D的输出作为74LS153的信号输入。 从多谐振荡器出来的脉冲信号分为两路:一路作为计数脉冲送到模十六计数器;另一路做为移位时钟脉冲加到移位寄存器。调节多谐振荡器的电阻可以改变振荡频率,即改变彩灯移动的速度,得到不同的动态效果。 多谐振荡器、双D 触发器、数据选择器共同组成一个电子开关。模16的进位输出脉冲经两个双D 触发器构成两位二进制计数器。调节开关电路的CP 脉冲产生电路的电阻,可以改变开关的切换时间用以选择每种花样出现时间的长短。 数据选择器的输出端接移位寄存器的输入端,在时钟脉冲的作用下,数据在移位寄存器的八位并行输出端从Q0到Q7顺序移动。移动的八位控制信号直接控制发光二极管的亮灭,于是出现了八路四花样自动循环切换的流水彩灯。 设计方案

多路彩灯控制器课程设计

物理与电气工程学院课程设计报告 多路彩灯控制器 姓名 ** ** 学号 ********* 班级电气工程及其自动化1班 年级 2011级 指导教师李 *** 成绩 日期 2013.4.8

摘要八路循环彩灯控制器整体电路由三部分组成:脉冲发生电路、移位寄存器、控制电路。其中用时钟脉冲来启动电路,使其发出不同的频率产生不一样的脉冲,控制发光二极管,使电路更好的工作。主要采用 74LS194 芯片接成扭环形结构的移位器来实现,最后做到两种花型的彩灯循环控制。 一、实验目的: 根据知识掌握情况和兴趣选择题目,给出功能设计方案,插接、调试电路,完成要求的任务,达到巩固和应用“电子技术基础”和“数字电路与逻辑设计”课程基本理论和方法,初步掌握模拟与数字电路系统设计基本方法的目的。 二、实验要求: 设计一个4路移存型彩灯控制器,彩灯用发光二极管LED模拟,具体要求如下: 1、能演示三种花型,花型自拟。 2、选作:彩灯明暗变换节拍为1.0s和0.5s,两种节拍交替运行。 三、实验元件: 555定时器 1个 74194 2个 74161 2个 7404 2个

电阻150kΩ 1个 电阻4.7KΩ 1个 电阻20Ω 8个 电容4.7uF 1个 电容0.1 uF 1个 四、总体方案的设计: 经过分析问题及初步的整体思考,设计方案如下: 需要实际时钟产生电路,循环控制电路和彩灯左右移,及全亮全灭输出电路。时钟脉冲产生电路由脉冲发生器产生连续的脉冲。循环电路采用74LS161 ,74LS194实现彩灯的循环控制。具体主要通过两片双向移位寄存器74LS194 来实现彩灯电路控制,通过脉冲发生器来产生连续时钟信号的输入,由74LS161 计数器来控制信号的移动方向,实现左移,右移及亮灭的功能。总体电路原理图如下: 五、单元电路的设计:

彩灯控制器

北华航天工业学院 《EDA技术综合设计》 课程设计报告 报告题目:彩灯控制器综合实验作者所在系部:电子工程系 作者所在专业:自动化 作者所在班级:B08223 作者姓名:王磊 指导教师姓名:崔瑞雪 完成时间:2010.12.01

课程设计任务书

内容摘要 随着科技的发展, 在现代生活中, 彩灯作为一种景观应用越来越多。EDA技术的应用引起电子产品及系统开发的革命性变革, 现介绍了以VHDL为基础的十六路彩灯控制系统。同时减少了设计芯片的数量、缩小了体积、降低了功耗、提高了设计的灵活性、可靠性和可扩展性。在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段,而VHDL语言则是EDA的关键技术之一,它采用自顶向下的设计方法,即从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块,最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。本文介绍了基于EDA技的多路彩灯控制器的设计与分析。在MAX+PLUSII环境下采用VHDL语言实现,论述了基于VHDL语言和CPLD芯片的数字系统设计思想和实现过程。电子设计自动化技术EDA的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件(PLD)使得EDA 技术的应用走向普及。CPLD是新型的可编程逻辑器件,采用CPLD进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。而VHDL语言是EDA的关键技术之一,它采用自顶向下的设计方法,完成系统的整体设计。 关键词: VHDL,彩灯,仿真,控制

目录 一、设计要求 (1) 二、实验方案 (1) 三、实验原理 (1) 四、设计模块 (1) 五、程序仿真调试 (2) 六、程序下载与实现 (2) 七、实验结果分析 (3) 八、心得体会 (3) 参考文献 (4) 附录 (4)

相关主题
文本预览
相关文档 最新文档