8位二进制并行加法器的源程序

  • 格式:txt
  • 大小:0.83 KB
  • 文档页数:1

--adder8b.vhd
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity adder8b is
port(c8:in std_logic;
a8:in std_logic_vector(7 downto 0);
b8:in std_logic_vector(7 downto 0);
s8:out std_logic_vector(7 downto 0);
co8:out std_logic);
end entity adder8b;
architecture art of adder8b is
component adder4b is
port(c4:in std_logic;
a4:in std_logic_vector(3 downto 0);
b4:out std_logic_vector(3 downto 0);
s4:out std_logic_vector(3 downto 0);
co4:out std_logic);
end component adder4b;
signal sc:std_logic;
begin
u1:adder4b
port map(c4=>c8, a4=>a8(3 downto 0),b4=>b8(3 downto 0),
s4=>s8(3 downto 0),co4=>co8);
u2:adder4b
port map(c4=>sc, a4=>a8(7 downto 4),b4=>b8(7 downto 4),
s4=>s8(7 downto 4),co4=>co8);
end architecture art;

下载文档原格式

  / 1
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。