数码管倒计时计时器实验结论
- 格式:docx
- 大小:11.08 KB
- 文档页数:2
倒计时计时器实验报告倒计时计时器实验报告引言:倒计时计时器是一种常见的时间管理工具,广泛应用于各个领域。
本实验旨在探究倒计时计时器的原理和应用,并通过实验验证其准确性和稳定性。
实验目的:1. 理解倒计时计时器的工作原理;2. 掌握使用倒计时计时器的方法;3. 验证倒计时计时器的准确性和稳定性。
实验器材:1. 倒计时计时器;2. 秒表;3. 电池。
实验步骤:1. 将电池插入倒计时计时器;2. 设置倒计时时间为10分钟;3. 启动倒计时计时器;4. 同时启动秒表;5. 观察并记录倒计时计时器和秒表的时间变化;6. 当倒计时计时器归零时,停止秒表。
实验结果:在本次实验中,倒计时计时器设置为10分钟。
实验过程中,观察到倒计时计时器和秒表的时间变化如下所示:开始时间:倒计时计时器显示10:00,秒表显示00:00;1分钟后:倒计时计时器显示09:00,秒表显示01:00;2分钟后:倒计时计时器显示08:00,秒表显示02:00;......8分钟后:倒计时计时器显示02:00,秒表显示08:00;9分钟后:倒计时计时器显示01:00,秒表显示09:00;10分钟后:倒计时计时器归零,秒表显示10:00。
实验讨论:通过实验结果可以看出,倒计时计时器在设定时间内准确地进行倒计时,并在倒计时结束时发出提示音。
同时,秒表的计时结果与倒计时计时器显示的时间相符,验证了倒计时计时器的准确性和稳定性。
倒计时计时器的应用十分广泛。
在生活中,倒计时计时器常用于厨房烹饪、运动训练等场景,帮助人们精确掌握时间。
在工作中,倒计时计时器可以帮助人们提高工作效率,合理安排时间。
在考试中,倒计时计时器可以帮助考生掌握答题时间,提醒时间不足。
需要注意的是,在使用倒计时计时器时,应确保其准确性和稳定性。
定期检查电池电量,及时更换电池,以免影响计时器的正常工作。
此外,倒计时计时器应放置在稳定的平面上,避免受到外界干扰。
结论:本次实验验证了倒计时计时器的准确性和稳定性。
实训报告
实训名称:数字电子技术实训
专业:电子信息工程
班级:0906班
姓名:于孟飞
学号:07090640
指导老师:程光老师
实习时间:09-10第2学期第15周
译码显示电路由74LS48和共阴极七段LED显示器组成。
管代替。
当启动开关闭合时,控制电路应封锁时钟信号CP,
示电路显示“30”字样;当启动开关断开时,计数器开始倒计数;当暂停/连续开关拨到相应位置起到暂停和继续的功能,当译码器显示“00”时同时
现短路,应为是正面视角布线注意反焊板时不要弄错位置。
把元器件全部按照布线图完成走线。
周四:(电路检测)
对已焊接完成的电路进行冷态测试,
看电路是否存在短路,检测所有IC地线
和5V供电是否接通,在检测无误后通电。
观察电路是否可以按照设计实现功能,如
果出问题则依照原理检测问题。
我的电路接通电后发现在复位后预
置数正确,为30,但在拨通连续开关后
、暂停开关问题,发现非门输入输出电位一样,检测发现短路,原因为漏锡短接到正面飞线且挡到没有发现,排除故障后发现工作正常,在检测中发现问题最好不要继续通电测试,尤其是发现IC发烫的时候,到此检测完成。
实验二60秒倒计时电路设计的实验报告一、实验目的1.进一步熟悉Quartus II混合层次化设计方法。
2.学习7段数码管的驱动设计方法。
二、实验内容60秒倒计时电路如图1所示。
其中,模块cnt_d60完成60倒计数,输出结果为2位十进制BCD码。
模块SCNA_LED完成BCD码到7段数码管显示译码功能。
图1 60秒倒计时电路图2 60秒倒计时底层电路60倒计数模块cnt_d60底层电路如图2所示。
主要由2片74192(双向十进制计数器)构成。
模块cnt_d60和SCNA_LED的源设计文档(cnt_d60.bdf和SCAN_LED.vhd)提供给大家。
要求大家建立新工程,为模块cnt_d60和SCNA_LED新建封装(*.bsf),并根据图1完成顶层60秒倒计时电路设计。
完成以上程序设计,编译时器件选择Cyclone系列的EP1C12Q240C8。
引脚锁定参考表1内容。
注意:应把未分配管脚置为三态输入,切记!!表1 实验连线1.原理图设计输入(1)首先将模块cnt_d60和SCNA_LED的源文件放在等一下需要建立的文件中,打开QuartusII软件。
(2)选择路径。
选择File/New Project Wizard。
添写后以后,单击“NEXT”进入下一步。
(3)添加设计文件,在File name中选择路径然后添加模块cnt_d60和SCNA_LED的源文件,点击“Next”。
(4)选择FPGA器件。
Family选择Cyclone,先在Packge选择Any QFP,Pin Count 选择240,Speed grade选择8;然后在Available device中选择EP1C12Q240C8,点击“Next”。
(5)选择外部综合器、仿真器和时序分析器。
设置好后,单击“NEXT”进入下一步。
(6)结束设置。
“工程设置统计”窗口,列出了工程的相关设置情况。
最后单击“Finish”,结束工程设置。
数电实验1实验报告项目一:倒计时定时器1、设计修改方案(1)加入分频网络分频采用74290芯片10分频级联,由于试验箱自身晶振提供50MHZ的时钟信号,所以需要利用分频分出500HZ用于扫描网络,分出1HZ用于计时器(2)首先用74244过7446接入8位数码管的a至g(3)实现60秒以内任意输入在十位和各位的74192计数器的指数端连接4个拨码开关,这样就可以随意输入倒计时的初始数值2、实验数据及仿真分析(1)完整电路图(附后)(2)仿真波形不接数码管译码器的数字结果:初始值为78可以看到十位数字从设定的7逐次递减为6、5、4…..,而各位在十位减1的周期内由9、8、7…逐次减为0。
符合倒计时定时器的要求。
且在计数减为00时,停止计时,指示灯由低电平转为高电平。
接译码器后数码管段选模拟波形:初始值78根据7段数码管的亮灭规律也可以看出,个位十位轮流显示,十位1、2、3号管亮显示为数字“7”,各位7段数码管全亮显示数字“8”,随后十位“7”在一段时间内不变,各位从数字“7”显示到数字“0(除7以外其他段数码管亮)”。
说明两位数码管显示正确。
(3)硬件测试管脚分配如下:由于拨码开关一共8个,置数开关S1,暂停开关S2占去两个,就只剩下6个拨码开关用来控制设置初始值。
由于要求60S以内,所以十位最高位和次高位默认接地,这样十位最多只能到6,各位4位个再用4个拨码开关进行控制,既可以完成60S以内任意数字设为初始计数值。
另外将计数停止口led1接13管脚,若计数结束,则红色LED灯全亮。
硬件测试:将电路按上述管脚分配拷入试验箱,拨码开关全关闭时,最左边两位数码管亮并显示两个数字“0”。
十位两位拨码开关拨为“10”,十位显示为4,各位的4位拨码开关拨为“0101”,各位显示数字“5”,此时计数器显示初始值为“45”,将S1分配的置数开关(右数第一个)由0拨为1,计时器从45变为44、43、42、41、40、39、38…..倒计时正常,将S2分配的暂停开关(右数第二个)由0拨为1,计时器停止到24不动,拨回后则继续由24开始倒计时。
单片机12分钟倒计时综合实验报告
一、实验目的
1、学习Proteus软件基础使用方法;
2、掌握定时/计数器与外部中断工作原理;
3、学习设计单片机控制的多位数码管扫描显示电路;
4、理解数码管动态显示的原理。
二、实验原理
AT89C51单片机位控制器,四位7段数码管分别显示分的十位、分的个位、秒的十位和秒的个位,其中数码管的位选口为P2口高四位,段选口位PO口,分和秒的能动态显示。
定时器实现1秒准确定时,外部中断0引脚外接键盘BUTTON1,实现手动校分功能;外部中断1引脚外接键盘BUTTON2,实现清零功能。
三、实验内容
根据实验任务设计要求,在Keil软件中实现程序编辑、编译与执行等操作。
对编译通过的过程可选择单步调试的方式来观察运行的结果,以及各个寄存器的值如何变化。
参考程序流程:主程序流程:开始→变量赋初值一定时器T1赋计数初值一设置外部中断控制字→开中断→开计数器→送位选字→送段选码→延时一送位选字,定时器T1中断流程:定时器T1赋计数初值一到1秒了吗?→Y秒加1orN结束→到1分钟了吗?→Y秒清零orN结束一分钟加1→到12分钟了吗?→Y分钟清零orN结束一结束,外部中断0流程:分钟加1→到12分钟了吗?一Y分钟清零orN结束一结束,外部中断
1:分钟清零→秒清零→结束。
倒计时器实验实验要求设计25分钟倒计时器,输入为key1和key2,初始状态为数码管显示2500。
通过key1控制切换计数与暂停状态。
当key1按下时,开始倒计时,再次按下,暂停计时。
通过key2控制置初值。
暂停状态下,当key2按下时,回到初始状态,数码管显示2500。
设计暂不考虑倒计时到0000时的处理,要做处理需要增加输入(计数到零时的标志位)和状态(归零状态)。
提示:上节课完成的25分钟倒计时可以增加两个输入,一个为计数使能端,一个为置数端。
使用课前预习的状态机模块,控制25分钟倒计时模块。
调试时,可先使用开关作为状态机的按键输入,测试没有问题后,再加入按键控制。
1.源代码1)counter25_top.v`timescale 1ns / 1psmodule counter25_top(input wire clk,input wire key1,input wire key2,output wire[6:0]a_to_g,output wire[3:0]an);wire jinwei;wire a;wire b;wire anjian1,anjian2;Sec_pule_generator U0(.clk(clk),.sec_pule(jinwei));ButtonEdgeDetect U3(.clk(clk),.button(key1),.rise(anjian1));ButtonEdgeDetect U4(.clk(clk),.button(key2),.rise(anjian2));State U1(.clk(clk),.key1(anjian1),.key2(anjian2),.cnt_en(a),.load(b));counter25 U2(.clk_sec(jinwei),.clk(clk),.cnt_en(a),.load(b),.a_to_g(a_to_g),.an(an));Endmodule2)ButtonEdgeDetect.v module ButtonEdgeDetect(input clk,input button,output reg rise);reg[7:0] samp;initialbeginrise=0;samp=8'b0000_0000;endalways @(posedge clk)samp<={samp[6:0],button};always @(posedge clk)if(samp==8'b0000_0001)rise<=1'b1;elserise<=1'b0;// always @(posedge clk)// if(samp==8'b1111_1110) // fall<=1'b1;// else// fall<=1'b0;Endmodule3)Sec_pul_genterator.v `timescale 1ns / 1psmodule Sec_pule_generator( input clk,output reg sec_pule);parameter M=24;reg [M:0] cnt;initial cnt=25'b0;always@(posedge clk)beginif(cnt==10000000)cnt<=0;elsecnt<=cnt+1;endalways@(posedge clk)beginif(cnt==10000000)sec_pule<=1;elsesec_pule<=0;endendmodule4)counter25.v`timescale 1ns / 1psmodule counter25(input wire clk,input wire clk_sec,input wire cnt_en,input wire load,output reg[6:0]a_to_g, output reg[3:0]an);reg [15:0] cnt25;reg carry;initial begincnt25[3:0]=0;cnt25[7:4]=0;cnt25[11:8]=5;cnt25[15:12]=2;endalways@(posedge clk_sec)beginif(cnt_en==1&&load==0)begincarry<=0;if(cnt25[3:0]==0&&cnt25[7:4]==0&&cnt25[11:8]==5&&cnt25[15:12]==2) begincnt25[3:0]=9;cnt25[7:4]=5;cnt25[11:8]=4;cnt25[15:12]=2;endelse begincnt25[3:0]<=cnt25[3:0]-1;if(cnt25[3:0]==0)begincnt25[3:0]<=9;cnt25[7:4]<=cnt25[7:4]-1;endif(cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[7:4]<=5;cnt25[11:8]<=cnt25[11:8]-1;endif(cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[11:8]<=9;cnt25[15:12]<=cnt25[15:12]-1;endif(cnt25[15:12]==0&&cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0) begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;carry<=1;endendendelse if(cnt_en==0&&load==0)begincnt25[3:0]<=cnt25[3:0];cnt25[7:4]<=cnt25[7:4];cnt25[11:8]<=cnt25[11:8];cnt25[15:12]<=cnt25[15:12];endelse if(cnt_en==0&&load==1)begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;endendwire rst_n=1'b1;wire [15:0]x;assign x[3:0]=cnt25[3:0];assign x[7:4]=cnt25[7:4];assign x[11:8]=cnt25[11:8];assign x[15:12]=cnt25[15:12];reg[18:0]clkdiv;always @(posedge clk or negedge rst_n)begin if(!rst_n)clkdiv<=19'd0;elseclkdiv<=clkdiv+1;endwire [1:0]bitcnt;assign bitcnt=clkdiv[18:17];always @* beginif(!rst_n)an=4'd0;elsean=4'd0;an[bitcnt]=1;endreg [3:0]digit;always@(*)beginif(!rst_n)digit=4'd0;elsecase(bitcnt)2'd0:digit=x[3:0];2'd1:digit=x[7:4];2'd2:digit=x[11:8];2'd3:digit=x[15:12];default:digit=4'd0;endcaseendalways @(*) beginif(!rst_n)a_to_g=7'b1111111;elsecase(digit)0:a_to_g=7'b1111110;1:a_to_g=7'b0110000;2:a_to_g=7'b1101101;3:a_to_g=7'b1111001;4:a_to_g=7'b0110011;5:a_to_g=7'b1011011;6:a_to_g=7'b1011111;7:a_to_g=7'b1110000;8:a_to_g=7'b1111111;9:a_to_g=7'b1111011;4'b1111:a_to_g=7'b0000000;default a_to_g=7'b1111110;endcaseendendmodule2.RTL分析3.仿真波形4.实验结果实验演示见附件中的视频。
led数字倒计时器设计自我总结LED数字倒计时器是一种常见的倒计时装置,它通过使用LED(发光二极管)来显示倒计时的时间。
设计一个LED数字倒计时器不仅需要掌握电子电路的知识,还需要了解数字显示技术和计时原理。
在设计和制作过程中,我遇到了一些挑战,但通过不断努力和学习,我成功地完成了LED数字倒计时器的设计。
我需要确定倒计时的时间范围和精度。
LED数字倒计时器可以根据需求设计不同的时间范围,例如从1分钟到24小时等。
在我的设计中,我选择了一个常见的时间范围,从1分钟到60分钟。
为了提高倒计时的精度,我采用了时钟模块来提供准确的时间基准。
接下来,我需要选择适当的LED显示器来显示倒计时的时间。
LED 显示器可以分为共阳极和共阴极两种类型。
在我的设计中,我选择了共阳极的LED显示器。
为了实现倒计时功能,我需要控制LED显示器的每个数码管的每个数字的亮灭。
通过使用逻辑门和计数器等电子元件,我成功地实现了LED数字倒计时器的数字显示功能。
为了使倒计时器更加实用和易于操作,我还添加了一些附加功能。
例如,我增加了开始、暂停和复位按钮,以控制倒计时的启动、暂停和重置。
我还添加了一个蜂鸣器,用于在倒计时结束时发出警报声。
通过这些附加功能,LED数字倒计时器不仅可以简单地显示倒计时的时间,还可以提供更多的操作和警示功能。
在完成LED数字倒计时器的设计后,我进行了一系列的测试和调试。
我验证了倒计时功能的准确性和稳定性,并确保LED显示器的亮灭正常。
同时,我还测试了按钮和蜂鸣器的功能,以确保它们能够正常工作。
通过这些测试和调试,我确保了LED数字倒计时器的正常运行和可靠性。
在这个设计过程中,我学到了很多关于电子电路和数字显示技术的知识。
我深入了解了LED显示器的工作原理和控制方法,并学会了使用逻辑门和计数器等电子元件来实现数字显示和倒计时功能。
通过解决各种问题和挑战,我提高了自己的解决问题的能力和创新能力。
通过设计LED数字倒计时器,我不仅加深了对电子电路和数字显示技术的理解,还提高了自己的实践能力和创新能力。
一、实训目的本次实训旨在通过实践操作,让学生熟悉数码管的工作原理,掌握单片机控制数码管显示的基本方法,以及倒计时功能的实现。
通过本次实训,学生能够:1. 理解数码管的结构和原理。
2. 掌握单片机与数码管之间的接口连接。
3. 学习编写单片机程序控制数码管显示数字。
4. 实现数码管的倒计时功能。
二、实训器材1. 单片机开发板(如51单片机开发板)2. 数码管(七段或多位)3. 电阻、电容等电子元件4. 连接线5. 编程软件(如Keil uVision)6. 仿真软件(如Proteus)三、实训原理1. 数码管原理:数码管是一种用于显示数字和字母的显示器,由多个发光二极管(LED)组成。
常见的数码管有七段数码管和多位数码管。
七段数码管由七个LED 组成,可以显示0-9的数字以及部分字母和符号。
多位数码管由多个七段数码管组成,可以显示多位数字。
2. 单片机控制数码管:单片机通过IO口输出高低电平信号,控制数码管的各个LED的亮灭,从而显示相应的数字或符号。
通过编写程序,单片机可以控制数码管显示不同的数字。
3. 倒计时功能:倒计时功能通过单片机定时器实现。
单片机定时器可以定时产生中断,每次中断执行一次减法操作,直到倒计时结束。
四、实训步骤1. 搭建电路:根据电路图连接单片机开发板、数码管、电阻等元件。
2. 编写程序:- 初始化IO口:设置单片机IO口为输出模式,用于控制数码管。
- 初始化定时器:设置定时器工作模式,使定时器定时产生中断。
- 中断服务程序:在中断服务程序中,实现倒计时功能。
每次中断,数码管显示的数字减1,直到倒计时结束。
3. 编译程序:使用Keil uVision等编程软件编译程序。
4. 下载程序:将编译好的程序下载到单片机开发板。
5. 仿真测试:使用Proteus等仿真软件进行测试,确保程序正常运行。
6. 实物测试:将单片机开发板与数码管连接,进行实物测试。
五、实训结果与分析1. 结果:通过本次实训,成功实现了数码管的倒计时功能。
《数字电子技术》课程设计报告姓名学号专业通信技术指导教师实验时间11月28号电子信息工程系2011-2012学年第一学期24s倒计时电路板的设计与制作一设计要求:具有显示24S计时功能;✓设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;✓计时器为24S递减计时器,其计时时间间隔为1S;二设计要求✓画出电路原理图(或仿真电路图);✓元器件及参数选择;✓电路仿真与调试;元件清单:七段显示数码管两个 74ls192芯片两个 74ls48芯片两个 74ls00 一个74ls32芯片一个 555芯片一个电阻六个电容两个三制作要求自行装配和调试,并能发现问题和解决问题。
四编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。
五原理框图1.设计原理它包括秒脉冲发生器、计数器、译码显示、控制电路。
其中计数器与与控制电路是主要功能模块。
计数器完成24s计时功能,而控制电路完成系统的清零、启动、暂停、连续计数、译码显示电路的显示与灭灯秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太高,故电路可采用555集成电路或由TTL与非站组成的多谐振荡器构成。
译码显示电路由74LS48和共阴极七段显示器组成2.设计方案分析设计任务,计数器和控制电路是系统的主要部分。
计数器完成24s计时功能,而控制电路具有直接控制计数器的启动、暂停/连续计数、译码显示电路的显示功能。
为满足系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系。
在操作直接清零开关时,要求计数器清零,数码显示器灭灯。
当启动开关闭合时,控制电路应封锁时钟信号cp,同时计数器完成置数功能,译码显示电路显示“24”字样当启动开关断开时,计数器开始计数iv暂停/连续开关打在暂停位置上时,计数器停下计数,处于保持状态;当暂停/连续开关打在令人连续进,计数器继续递减计数。
六各功能块电路图1. 74LS192码递减计数器模块计数器选用汇总规模集成电路74LS192进行设计较为简便,74LS192是十进制可编程同步加锁计数器,它采用8421码二—十进制编码,并具有直接清零、置数、加锁计数功能。
60秒倒计时实训报告班级:12物联网班组员:林子均李金梅陈柳华胡锦凤黎雪梅李康健目录第一章摘要第二章实验任务第三章实验主要器材3.1 AT89S51芯片概述3.2 LED数码管显示器概述3.3 软件设计方法第四章实验步骤4.1 硬件设计4.2 软件设计第五章实验结果5.1 调试与仿真第六章实验总结第一章摘要近年来随着计算机在社会领域的渗透, 单片机的应用正在不断地走向深入,同时带动传统控制检测日新月益更新。
在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。
模拟多通道压力系统是利用压力传感器采集当前压力并反映在显示器上,它可以分析压力过量程,并发出报警。
并采用电子秤原理可根据输入单价准确的计算出物体的金额。
本篇论文讨论了简单的倒计时器的设计与制作,本次设计项目中倒计时器中的四位LED数码显示器,采用以软件为主的接口方法,即不使用专门的硬件译码器,而采用软件程序进行译码。
第二章实验任务任务说明:单片机控制的多功能60.00s倒计时1.使用单片机开发板实现60.00秒内任意时间的倒计时功能。
2.倒计时可以手动设置起始时间,使用4位数码管显示时间。
3.倒计时到时后输出一组LED灯闪烁,并通过按键返回设置倒计时初值界面。
4.请设计出硬件电路、软件程序,并写出实训报告。
第三章实验主要器材3.1 AT89S52芯片概述AT89C52是一个低功耗,高性能CMOS 8位单片机,片内含8k字节Flash可擦写存储器(PEROM)。
AT89C52器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准80C51和80C52指令集及引脚布局,AT89C52的片内Flash允许程序存储器通过传统编程器反复编程。
由于芯片内集成了通用8位中央处理器和Flash存储单元,功能强大的微处理器ATMEL AT89C52可为许多嵌入式控制应用统提供高度灵活、高性价比的解决方案。
淮阴师范学院物理与电子电气工程学院课程设计报告学生姓名靖洋学号171206011班级12级4班专业电子信息科学与技术题目LED数字倒计时器指导教师魏东旭、陈勇2014 年12 月一、设计任务与要求LED倒计时器设计以AT89S52单片机为核心,系统包括6位数码管显示电按键电路、电源电路、复位电路、晶振电路及蜂鸣器电路几部分。
要求:1)LED数码管显示倒计时时间;2)倒计时过程中能设置多个闹钟,当倒计时值倒计到定值时会发出约2s的报警声音;3)通过按键可以对倒计时设定初值,倒计时初始值范围在24:00:00---00:00:60之间,用户可根据需要对其进行设置,设置成功后复位初始值为成功设定值。
二、框图设计2.1 LED数字倒计时器主要由AT89C51单片机、晶振电路、复位电路、按键电路、数码管电路、蜂鸣电路组成(如图2.1)。
图2.1 LED数字倒计时器系统设计框图2.2 晶振电路分析1)晶振电路原理:晶振是通过电激励来产生固定频率的机械振动,而振动又会产生电流反馈给电路,电路接到反馈后进行信号放大,再次用放大的电信号来激励晶振机械振动,晶振再将振动产生的电流反馈给电路,如此这般。
当电路中的激励电信号和晶振的标称频率相同时,电路就能输出信号强大,频率稳定的正弦波。
整形电路再将正弦波变成方波送到数字电路中供其使用。
2)晶振电路的特点:晶振是石英振荡器的简称,英文名为Crystal,晶振分为有源晶振和无源晶振两种,其作用是在电路产生震荡电流,发出时钟信号。
它是时钟电路中最重要的部件,它的作用是向IC等部件提供基准频率,它就像个标尺,工作频率不稳定会造成相关设备工作频率不稳定,自然容易出现问题。
由于制造工艺不断提高,现在晶振的频率偏差、温度稳定性、老化率、密封性等重要技术指标都很好,已不容易出现故障,但在选用时仍可留意一下晶振的质量。
图2.2晶振电路原理图2.3 复位电路的分析1)复位电路的原理:系统上电时提供复位信号,直至系统电源稳定后,撤销复位信号。
数码管倒计时计时器实验结论一、引言数码管倒计时计时器是一种常见的计时器,广泛应用于各种领域。
本实验通过搭建数码管倒计时计时器电路,对其性能进行测试和分析,得出了以下结论。
二、实验步骤1. 搭建数码管倒计时计时器电路,包括数码管显示模块、计时器模块、控制模块等。
2. 对数码管倒计时计时器进行功能测试,包括设置倒计时时间、启动倒计时、暂停倒计时、重置倒计时等操作。
3. 测试数码管倒计时计时器的准确度和稳定性,比较设定的倒计时时间与实际显示时间的差异。
4. 测试数码管倒计时计时器的响应速度,观察在不同倒计时时间下,数码管显示是否及时更新。
5. 测试数码管倒计时计时器的可靠性,包括在倒计时过程中是否会出现故障、误差累积等情况。
三、实验结果与结论1. 数码管倒计时计时器能够准确地显示设定的倒计时时间,并在倒计时结束时发出提醒。
2. 数码管倒计时计时器的显示精度较高,误差范围在可接受范围内。
3. 数码管倒计时计时器的响应速度较快,数码管显示及时更新。
4. 数码管倒计时计时器的稳定性较好,长时间使用不会出现故障或误差累积。
5. 数码管倒计时计时器的操作简单方便,易于控制和调整倒计时时间。
四、实验分析与讨论1. 数码管倒计时计时器的准确度受到电路元件的精度和稳定性的影响,选用高质量的元件可以提高准确度。
2. 数码管倒计时计时器的响应速度受到控制模块和显示模块的性能限制,可以通过优化电路设计和选用高性能元件来提高响应速度。
3. 数码管倒计时计时器的稳定性受到电路连接的牢固性和元件的可靠性影响,合理布局和固定元件可以提高稳定性。
4. 数码管倒计时计时器的操作简单方便,但在实际应用中可能需要更多的功能,如倒计时结束后的自动重启、倒计时时间的保存等,可以根据实际需求进行改进和扩展。
五、实验总结数码管倒计时计时器是一种常见且实用的计时器,本实验通过搭建电路并进行测试分析,得出了该计时器的性能结论。
数码管倒计时计时器具有准确度高、响应速度快、稳定性好等优点,操作简单方便。
数字逻辑开放性试验报告题目名称:篮球竞赛24秒倒计时器姓名:杨带稳、吴土华、钟水安专业:应用电子技术班级: 09应电2班指导老师: 王志辉老师二零一零年十一月目录第1章设计任务及要求 (1)第2章电路设计原理与设计电路 (2)2.1设计原理 (2)2.2设计方案 (3)2.3单元模块 (3)2.3.1 时钟模块 (3)2.3.2 8421BCD码递减计数器模块 (7)2.3.3 辅助时序控制模块 (8)2.3.4 译码显示模块 (9)2.4 电路的改进 (13)第3章电路仿真、安装与调试案 (15)3.1 电路仿真 (15)3.2 电路焊接.......................................... 错误!未定义书签。
3.3电路安装 (15)3.4 电路调试......................................... 错误!未定义书签。
第四章总结 .. (18)参考文献 ................................................. 错误!未定义书签。
附录一: . (19)附录二: (20)第1章设计任务及要求篮球赛计时器一、任务设计、制作一个篮球竞赛24秒计时器。
可以直接清零、启动、暂停和连续以及具有声光报警功能,同时应用七段数码管来显示时间。
当计时器递减到零时,会发出声光报警信号。
原理框图如下:二、要求1.基本要求(1)具有显示24秒的倒计时功能,计时间隔为1秒。
(2)系统设置外部操作开关,控制计数器的清零、启动。
2.发挥部分(1)计时器具有暂停/继续功能。
(2)计时到零时数码显示器不能灭灯,同时发出声光报警信号。
三、说明1.电源可用成品,必须自备,亦可自制。
2.设计报告正文中应包括系统总体框图、核心电路原理图、主要流程图、主要的测试结果。
完整的电路原理图、和完整的测试结果用附件给出。
3.提示:七段字形译码器:74LS194计数器:CC40161或74LS192计时脉冲提供:555定时器第2章电路设计原理与设计电路2.1设计原理分析设计任务,该系统包括秒脉冲发生器、计数器、译码显示电路、扩展控制报警电路4个部分构成。
数码管实验报告范文摘要:数码管是一种常见的数字显示组件,在电子系统和电子仪表中广泛应用。
本实验通过使用数码管模块和Arduino单片机,设计并实现了一个简单的计时器。
实验的过程中通过学习数码管模块的原理和使用方法,并通过编程实现了计时器的功能。
实验结果表明,通过控制数码管的工作方式和显示内容,可以实现各种数字显示需求。
关键词:数码管,Arduino,计时器1.引言:数码管是一种将数字信息显示为可见字符的电子组件,广泛应用于电子仪表、时钟、计算器等电子系统中。
数码管通常由七段LED组成,每段LED可以独立控制亮灭,通过不同的控制方式和显示内容可以实现各种数字显示需求。
2.实验目的:通过实验,掌握数码管模块的工作原理和使用方法;通过编程实现数码管的动态显示;通过设计简单的计时器,将实验内容运用到实际项目中。
3.实验原理:数码管由七段LED组成,分别对应数字的每个部分,通过控制每个段的亮灭可以显示不同的数字。
在数码管模块中,数码管的阳极通过一个共阳极电流限制电阻连接到电源,七个阴极通过七个二极管连接到Arduino的数字输出端口。
通过控制每个数字的阴极段连通与否,实现各段LED的控制和数字的显示。
4.实验装置和材料:数码管模块、Arduino Uno开发板、杜邦线若干、面包板。
5.实验步骤:1)搭建实验电路:将数码管模块的阳极接到5V电源,七个阴极分别接到Arduino的数字输出口2-8;2)编写Arduino代码,通过对七个数字输出口的控制,实现数码管的动态显示;3)将Arduino开发板与电脑连接,并通过Arduino IDE上传代码到开发板;4)观察数码管的显示效果,调试代码,实现计时器的功能。
6.实验结果:经过调试,成功实现了计时器的功能。
数码管可以正常显示数字,并且能够根据代码的控制动态变化。
7.实验总结:通过本次实验,我学习了数码管的工作原理和使用方法。
在实验中,我独立完成了电路搭建和代码的编写,并成功实现了计时器的功能。
摘要:本次实习旨在通过实际操作,加深对数码管电子钟原理的理解,提升电子设计、制作与调试的能力。
在实习过程中,我不仅掌握了数码管电子钟的基本工作原理,还学会了如何将理论知识应用于实际设计中,并在实践中不断优化电路设计。
以下是对本次实习的详细总结。
一、实习背景随着科技的不断发展,电子钟表已成为日常生活中不可或缺的设备。
数码管电子钟因其显示清晰、读数直观、制作简单等优点,在电子爱好者中具有较高的普及率。
本次实习以数码管电子钟为研究对象,通过实际操作,深入了解其工作原理和制作过程。
二、实习目标1. 理解数码管电子钟的基本工作原理;2. 掌握数码管电子钟的电路设计方法;3. 学会数码管电子钟的组装与调试;4. 提升电子设计、制作与调试的能力。
三、实习内容1. 理论学习:- 研究数码管电子钟的工作原理,了解其组成部件及其功能;- 学习电子钟表的相关知识,如石英晶体振荡器、分频器、计数器、显示驱动电路等。
2. 电路设计:- 根据理论学习,设计数码管电子钟的电路图;- 选择合适的元器件,如石英晶体振荡器、分频器、计数器、数码管等。
3. 组装与调试:- 按照电路图,将元器件焊接在电路板上;- 连接电源,进行初步调试,确保电路正常工作;- 调整电路参数,优化显示效果。
4. 实践操作:- 利用实验室提供的工具和设备,完成数码管电子钟的组装;- 对组装完成的电子钟进行测试,确保其功能正常;- 根据测试结果,对电路进行优化和改进。
四、实习过程1. 理论学习:- 通过查阅资料、请教老师,对数码管电子钟的工作原理有了初步了解; - 学习了电子钟表的相关知识,为电路设计奠定了基础。
2. 电路设计:- 根据理论学习,设计出符合要求的电路图;- 选择合适的元器件,并计算相关参数。
3. 组装与调试:- 按照电路图,将元器件焊接在电路板上;- 连接电源,进行初步调试,确保电路正常工作;- 调整电路参数,优化显示效果。
4. 实践操作:- 利用实验室提供的工具和设备,完成数码管电子钟的组装;- 对组装完成的电子钟进行测试,确保其功能正常;- 根据测试结果,对电路进行优化和改进。
实习报告一、实习背景与目的随着科技的不断发展,电子技术在各个领域得到了广泛的应用。
为了提高自己的实际操作能力和理论知识的应用能力,我参加了本次倒计时计数报警器的实习项目。
本次实习的主要目的是学习和掌握数字电子技术在实际电路设计中的应用,培养自己的动手能力和团队协作能力。
二、实习内容与过程在实习过程中,我们首先进行了理论学习和方案设计。
通过对倒计时计数报警器的工作原理和功能需求进行分析,我们确定了电路的设计方案。
然后,我们分工合作,完成了电路图的绘制、元器件的选型和采购、电路的搭建和调试等工作。
在电路设计中,我们采用了CD4510和CD4026等芯片来实现倒计时计数功能,使用了蜂鸣器作为报警器,通过按键控制计数和报警。
在电路搭建过程中,我们遇到了一些问题,如元器件的焊接错误、电路连接不当等,但通过查阅资料和团队讨论,我们逐一解决了这些问题。
在电路调试阶段,我们通过修改程序和调整电路参数,实现了倒计时计数和报警功能。
同时,我们对电路进行了测试,验证了其稳定性和可靠性。
在实习过程中,我们还完成了实习报告的撰写,对实习过程进行了总结和反思。
三、实习成果与收获通过本次实习,我掌握了倒计时计数报警器的设计原理和制作流程,提高了自己的实际操作能力和理论知识的应用能力。
同时,我学会了如何查阅资料、分析问题、解决问题,培养了团队协作和沟通能力。
此外,我还深刻体会到理论与实践相结合的重要性。
在实习过程中,我们遇到了很多问题,但通过理论知识的支持,我们逐一解决了这些问题。
这让我认识到,理论知识不仅是实践的基础,也是解决实际问题的关键。
四、实习总结与展望本次实习让我收获颇丰,不仅提高了自己的专业技能,也培养了团队协作和沟通能力。
在今后的学习和工作中,我将继续努力,将所学知识运用到实际中,为我国电子技术的发展贡献自己的力量。
同时,我也认识到自己在实习过程中还存在一些不足,如电路设计能力和问题解决能力有待提高。
在今后的学习和实践中,我将不断努力,充实自己,提高自己的综合素质。
99秒计时器实验报告学院:年级:专业:班级:姓名:一、实验要求1、用中断程序控制数码管倒计时。
2、用开关控制,使数码管开始和停止计时。
3、用开关阵列控制数码管显示二、流程框图(一)原理图(二)PCB(1)由12个按键组成一组3*4的矩阵式键盘,要注意上拉电阻,不能忘记。
用软件采取行扫描或是线反转法的方式,进行判断哪个按键是否按下,首先由软件设定好每个按键所对应的具体数字,当判断那个按键按下后,输出所对应的数字,己所设定的时间。
其中有两个按键是开始按键和停止按键,当开始按键按下的时候,开始倒计时,按下停止的按键,倒计时停止。
(2)A T89C51通过P1口连接数码管,P0口连接键盘,按下键盘,即把数据输入到单片机中,通过单片机内部的软件控制,显示所要显示的具体数据。
(3)由于51单片机的I/O端口的带载能力不够,所以,在I/O端口和数码管的连接上连接一个74HC373来增加带载能力。
(4)数码管的每一个段都连接在74HC373上,通过软件的控制而决定是哪一个字段亮,从而决定是显示怎么样的数字。
把数码管的COM端连接在反相器上,作为微控,连接在单片机上,反相器采用74LS04的反相器。
(5)显示倒计时采取判断的方式,当判断出按下哪个按键后,显示搜对应的数字,按下“开始”按键,通过软件的控制,是倒计时开始。
五、收获对于单片机的学习,理论与实践同等重要。
在实验中,可以弄清楚书本上的知识。
老师在实验中讲诉的一些经验更是重要,这个在书本上是没有,例如在复位电路上是要用乙醇擦拭干净的。
应用软件,拷片子,调试,这些过程锻炼了我们的实践能力,为我们就业奠定了基础。
亲手做过设计,调试过电路板,在这个过程中积累的知识相对看书本所学到的是不一样的。
在做双面板的时候,应尽可能确保双面对正,防止穿孔打偏。
在一些细节上,我们应该更加注意。
谢谢老师指导我们,并且把经验告诉我们。
RFID倒计时器实验报告
RFID倒计时器设计以AT89S52单片机为核心,系统包括6位数
码管显示电按键电路、电源电路、复位电路、晶振电路及蜂鸣器电路几部分。
要求:
1) L ED数码管显示倒计时时间;
2)倒计时过程中能设置多个闹钟,当倒计时值倒计到定值时会发出约2s的报警声音;
3)通过按键可以对倒计时设定初值,倒计时初始值范围在24: 00: 00---00: 00: 60之间,用户可根据需要对其进行设置,设置成功后复位初始值为成功设定值。
晶振是通过电激励来产生固定频率的机械振动,而振动又会产生电流反馈给电路,电路接到反馈后进行信号放大,再次用放大的电信号来激励晶振机械振动,晶振再将振动产生的电流反馈给电路,如此
这般。
当电路中的激励电信号和晶振的标称频率相同时,电路就能输出信号强大,频率稳定的正弦波。
整形电路再将正弦波变成方波送到数字电路中供其使用。
晶振是石英振荡器的简称,英文名为Crystal, 晶振分为有源晶振和无源晶振两种,其作用在电路产生震荡电流,发出时钟信号。
它
是时钟电路中最重要的部件,它的作用是向IC等部件提供基准频率,它就像个标尺,工作频率不稳定会造成相关设备作频率不稳定,自然容易出现问题。
由于制造I艺不断提高,现在晶振的频率偏差、温度
稳定性、老化率、密封性等重要技术指标都很好,已不容易出现故障,但在选用时仍可留意一下晶振的质量。
数码管倒计时实验总结我跟你说啊,这数码管倒计时实验可太有意思了。
我刚开始做这个实验的时候啊,看着那些数码管,就跟看外星物件儿似的,一堆小灯管儿,瞅着就迷糊。
我就站在那个实验台前,眼睛瞪得老大,眉头皱得能夹死苍蝇。
旁边那哥们儿还在那儿瞎指挥,“哎,你看这儿,这儿得这么弄。
”我瞅他一眼,“你行你上啊,在这儿瞎咧咧。
”不过心里也知道他是好心。
这数码管啊,每一根儿好像都有自己的小脾气。
我按照那电路图连线路的时候,那手啊,哆哆嗦嗦的,就怕接错了。
线就像一根根调皮的小蛇,我得把它们驯服了放到正确的地方。
我这眼睛啊,死死盯着那些接口,那专注劲儿,感觉周围空气都凝固了,只有我和这些数码管存在。
然后开始调程序,那代码在我眼里跟天书似的。
我一边看着教程,一边在那儿敲代码,敲几下就得回头看看数码管有没有反应。
有时候敲完了,啥动静没有,心里那个急啊,就像热锅上的蚂蚁。
我就开始自言自语,“这是咋回事儿呢?你个小数码管,你倒是给我个动静啊。
”后来啊,好不容易有点反应了,倒计时数字出来了,可那数字乱蹦,根本不是正常的倒计时。
我又得重新检查代码,这时候我那脑子啊,就像一团乱麻,理都理不清。
我就跟旁边的同学抱怨,“这实验可真折磨人啊,比让我跑个马拉松还累。
”同学还笑我,“你这就是没找着窍门儿。
”我哼了他一声,“你找着了,你咋不告诉我呢?”再后来啊,经过我无数次的调试,那数码管终于听话了,倒计时顺顺当当的。
看着那数字一个一个往下跳,我这心里啊,可美了,就像自己种的花突然开了一样。
我就站在那儿,傻笑着看着数码管,旁边同学都说我像个傻子似的。
但我不管啊,这可是我好不容易弄出来的成果,那种成就感,没法形容。
这数码管倒计时实验啊,就像一场战斗,我和这些小数码管斗智斗勇。
虽然过程很折磨人,但最后成功的时候,感觉之前的苦啊累啊都值了。
数码管倒计时计时器实验结论
1. 引言
数码管倒计时计时器是一种常见的电子设备,用于倒计时和计时的功能。
本次实验旨在探究数码管倒计时计时器的工作原理和应用场景,并通过实际操作验证其性能和准确性。
2. 实验目的
本次实验的主要目的是研究数码管倒计时计时器的原理、结构和工作方式,并通过实际操作来验证其在倒计时和计时方面的准确性和稳定性。
3. 实验材料与方法
3.1 实验材料
•数码管倒计时计时器设备
•电源适配器
•手动开关
3.2 实验方法
1.将电源适配器连接到数码管倒计时计时器设备上,并将适配器插入电源插座。
2.使用手动开关控制数码管倒计时/计时模式的切换。
3.设置所需时间或开始倒计/正计过程。
4.观察数码管显示并记录结果。
4. 实验结果与分析
4.1 数码管显示准确性测试
为了验证数码管倒计时/正记时间的准确性,我们进行了一系列的测试。
4.1.1 倒计时功能测试
1.设置倒计时时间为5分钟。
2.启动倒计时功能。
3.观察数码管显示,并使用标准时间工具验证倒计时的准确性。
4.记录结果。
结果表明,倒计时功能在5分钟内准确无误地进行了倒数。
4.1.2 正记时间功能测试
1.设置正记时间为10分钟。
2.启动正记时间功能。
3.观察数码管显示,并使用标准时间工具验证正记时间的准确性。
4.记录结果。
结果表明,正记时间功能在10分钟内准确无误地进行了计时。
4.2 数码管显示稳定性测试
为了验证数码管显示的稳定性,我们进行了长时间持续运行测试。
1.将倒计时设置为30秒。
2.启动倒计时功能并观察数码管显示。
3.让实验设备持续运行30分钟,并记录每隔5分钟的数码管显示结果。
经过长时间持续运行测试,数码管显示保持稳定且无明显闪烁或错误。
这证明了该设备在长时间使用过程中能够保持稳定的性能和准确的显示效果。
5. 结论
通过本次实验,我们得出了以下结论:
1.数码管倒计时计时器能够准确地进行倒计时和正记时间的功能。
2.数码管显示在设置的时间范围内准确无误,并通过标准时间工具进行验证。
3.数码管显示在长时间持续运行测试中保持稳定且无明显闪烁或错误。
数码管倒计时计时器是一种可靠的设备,广泛应用于各种需要倒计时或正记时间的场景,如厨房烹饪、体育比赛、实验室操作等。
其准确性和稳定性使其成为人们日常生活中不可或缺的工具之一。