当前位置:文档之家› 计算机组成原理作业讲解1-4章 答案

计算机组成原理作业讲解1-4章 答案

计算机组成原理作业讲解1-4章 答案
计算机组成原理作业讲解1-4章 答案

1.1 概述数字计算机的发展经过了哪几个代?各代的基本特征是什么?

略。

1.2 你学习计算机知识后,准备做哪方面的应用?

略。

1.3 试举一个你所熟悉的计算机应用例子。

略。

1.4 计算机通常有哪些分类方法?你比较了解的有哪些类型的计算机?

略。

1.5 计算机硬件系统的主要指标有哪些?

答:机器字长、存储容量、运算速度、可配置外设等。

答:计算机硬件系统的主要指标有:机器字长、存储容量、运算速度等。

1.6 什么是机器字长?它对计算机性能有哪些影响?

答:指CPU一次能处理的数据位数。它影响着计算机的运算速度,硬件成本、指令系统功能,数据处理精度等。

1.7 什么是存储容量?什么是主存?什么是辅存?

答:存储容量指的是存储器可以存放数据的数量(如字节数)。它包括主存容量和辅存容量。

主存指的是CPU能够通过地址线直接访问的存储器。如内存等。

辅存指的是CPU不能直接访问,必须通过I/O接口和地址变换等方法才能访问的存储器,如硬盘,u盘等。

1.8 根据下列题目的描述,找出最匹配的词或短语,每个词或短语只能使用一次。(1)为个人使用而设计的计算机,通常有图形显示器、键盘和鼠标。

(2)计算机中的核心部件,它执行程序中的指令。它具有加法、测试和控制其他部件的功能。

(3)计算机的一个组成部分,运行态的程序和相关数据置于其中。

(4)处理器中根据程序的指令指示运算器、存储器和I/O设备做什么的部件。

(5)嵌入在其他设备中的计算机,运行设计好的应用程序实现相应功能。

(6)在一个芯片中集成几十万到上百万个晶体管的工艺。

(7)管理计算机中的资源以便程序在其中运行的程序。

(8)将高级语言翻译成机器语言的程序。

(9)将指令从助记符号的形式翻译成二进制码的程序。

(10)计算机硬件与其底层软件的特定连接纽带。

供选择的词或短语:

1、汇编器

2、嵌入式系统

3、中央处理器(CPU)

4、编译器

5、操作系统

6、控制器

7、机器指令

8、台式机或个人计算机

9、主存储器10、VLSI

答:(1)8,(2)3,(3)9,(4)6,(5)2,

(6)10,(7)5,(8)4,(9)1,(10)7

计算机系统有哪些部分组成?硬件由哪些构成?

答:计算机系统硬件系统和软件系统组成。

硬件由控制器、存储器、运算器、输入设备和输出设备五大部件构成

1.9 冯·诺伊曼Von Neumann计算机的主要设计思想是什么?

略。

1.10 计算机硬件有哪些部件,各部件的作用是什么?

略。

1.11 计算机软件包括哪几类?说明它们的用途。 略。

1.12 简述计算机系统的多级层次结构的分层理由及各层的功能。 略。

1.13 通过计算机系统的层次结构学习,你对计算机系统有了怎样的了解? 略。

第二章

2.1 数字信号和模拟信号的主要区别是什么?与模拟电路相比,数字电路有何特点? 略。

2.2 二极管两端需要加多大的电压才使二极管导通? 答:大于二极管的正向特性存在死区电压V r (硅二极管约为0.7V ,锗二极管约为0.2V ) 2.3 三极管何时处于截止状态,何时处于饱和状态?

答:当输入电压V i >0,且V i < 死区电压V r ’,三极管处于截止状态。 当输入电压V i 增大,基极电流I B 、集电极电流I C 随之增大,输入电压V CE =V CC -I C R C 不断下降,当V CE 降到0.7V 以下时,发射结仍正向偏置,集电结则由反向偏置转为正向偏置,此时三极管进入饱和状态。

2.4 双极型逻辑门和单极型逻辑分别是怎样形成的?它们各有何特点? 略.

2.5 实现逻辑代数的基本运算有哪几种逻辑门? 答:与,或,非。

2.6 分析图2-41所示的逻辑电路图,写出表达式并进行化简。

A B

图2-41 习题2. 6图

(a )

B F

答:

(a )B A F =

(b) F=AD+C +D B

2.7 请用代数化简法将下列各逻辑表达式化成最简式。 (1)B A C B C B B A F +++= 答:C B C A B A F ++=

(2)DEFG EF B ACEF BD C A AB D A AD F +++++++=

答:EF B BD C A F +++=

2.8 分析图2-42所示的逻辑电路,列出真值表,说明其逻辑功能。

X X X X 0

1

图2-42 习题2. 8

C

图2-43 习题2.9 图

2.8 答:

A 1 A 0 X 0 X 1 X 2 X 3 F 0 0 X X X X X 0 0 1 X X X X X 1 1 0 X X X X X 2 1 1 X X X X X 3

图2-42是一个四选一电路

2.9 分析图2-43所示的逻辑电路图,列出真值表,说明其逻辑功能。 答:

A B C F 1 F 2 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

图2-43是一个一位全加器,A, B 为加数和被加数,C 为低位进位, F1为和,F2为产生的进位。

(图中有错误,第4个与门的输入少了 A )

2.10 请用卡诺图法将下列各逻辑表达式化成最简式。

(1)BCD C A ABD AB F +++=

(2)DE C E C B D C B B A AC F ++++= 答:(1)AB C A F +=

(2)AC E C D B B A F +++= 2.11 简述组合逻辑电路的设计过程。 略:

2.12 用与非门设计实现下列函数的组合逻辑电路。 (1)∑=)15,14,13,10,7,6,2,0(),,,(m D C B A F ;

(2)∑∑+=)15,8,3,0()10,7,6,5,4,2(),,,(?m D C B A F 。

答:(1)

ABCD

D ABC D C AB D C B A BCD A D BC A D C B A D C B A F +++++++==

ABD D B A D C BC +++=ABD D B A D C BC

图略。 (2)

)

(ABCD D C B A CD B A D C B A D C B A BCD A D BC A D C B A D C B A D C B A F +++++++++=?

=D C B B A C A ++=D C B B A C A

图略。

2.13 用一位全加器组成4位并行进位加法器,其并行进位电路应该如何实现? 略。

2.14请画出74LS273的典型用法的接线图。 2.15 什么是三态门?一般应用在什么场合? 略

2.16计算机中常用的寄存器有哪些?请说明如何使用? 略

2.17 请说明74LS299的功能及使用方法。 略

2.18 请说明74LS161的功能及使用方法。 略

习题3

3.1 求下列各数的十进制数值:

(1)(267.3)8 (2)(BD .C )16 (3)(1011011.101)2 答:.(1 ) 183.375, (2) 189.75, (3 ) 91.625

3.2将下列十进制数转化为二进制、八进制和十六进制数据(小数取四位二进制有效数据):

(1)-282.75 (2)123.46 (3)-115/512 (4)44.9375

答:2.

序号十进制十六进制二进制八进制

(1)-282.75 -11A.C -100011010.1100 -432.6

(2)123.46 7B.7 1111011.0111 173.34

(3) -115/512 -0.388 -0.001110011 -0.163

(4) 44.9375 2C.F 101100.1111 54.74

3.3写出下列各数的原码、反码和补码,机器数长度为8位:

(1)0 (2)-127 (3)-0.5 (4)-19/128 (5)100 (6)23/64

序号真值原码补码反码

(1) 0 00000000 00000000 00000000

10000000 11111111

0.0000000 0.0000000 0.0000000

1.0000000 1.1111111

(2) -127 11111111 10000001 10000000

(3) -0.5 1.1000000 1.1000000 1.0111111

(4) -19/128 1.0010011 1.1101101 1.1101100

(5) 100 01100100 01100100 01100100

(6) 23/64 0.0101110 0.0101110 0.0101110

3.4写出下列各机器数的二进制真值X:

(1)[X]补=0.1001 (2)[X]补=1.1001 (3)[X]原=0.1101 (4)[X]原=1.1101 (5)[X]反=0.1011 (6)[X]反=1.1011 (7)[X]移=0,1001 (8)[X]移=1,1001(9)[X]补=1,0000000(10)[X]反=1,0000000(11)[X]原=1,0000000

(12)[X]移=1,0000000

答:

(1) +1001 (7) - 0111

(2) - 0111 (8) +1001

(3) +1101 (9) - 10000000

(4) -1101 (10) - 01111111

(5) +1011 (11) - 00000000

(6) -0100 (12) 00000000

3.5设某机器数字长为8位,有两个数的16进制表示形式为9CH和FFH,问:若它们分别表示为下列格式的机器数时,其对应的十进制真值是多少?

(1)无符号整数;

(2)原码表示的定点整数;

(3)原码表示的定点小数;

(4)补码表示的定点整数;

(5)补码表示的定点小数;

(6)反码表示的定点整数;

(7)移码表示的定点整数。

答:数9CH FFH

无符号整数156 255

原码表示的定点整数-28 -127

原码表示的定点小数-(2-3+2-4+2-5)= -0.21875 -(1-2-7)

补码表示的定点整数-100 -1

补码表示的定点小数-(2-1+2-2+2-5)=0.78125 -2-7

反码表示的定点整数-99 -0

移码表示的定点整数28 127

3.6假设某规格化浮点数的尾数表示形式为M0.M1…… M n,选择正确的答案写在横线上:(1)若尾数用原码表示,则尾数必须满足 D 。

(2)若尾数用补码表示,则尾数必须满足GH 。

A.M0=0 B.M0=1 C.M1=0 D.M1=1

E.M0.M1=0.0 F.M0.M1=1.1 G.M0.M1=0.1

H.M0.M1=1.0

答:(1)D ;(2)GH

3.7浮点数的表示范围取决于 D 的位数,浮点数的表示精度取决于 C 的位数,浮点数的正负取决于 A , E 在浮点数的表示中是隐含规定的。

A.数符B.阶符C.尾数D.阶码E.阶码的底

答:D, C, A, E

3.8设一浮点数格式为:字长12位,阶码6位,用移码表示,尾数6位,用原码表示,阶码在前,尾数(包括数符)在后,则按照该格式:

(1)已知X=-25/64,Y=2.875,求数据X、Y的规格化的浮点数形式。

(2)已知Z的浮点数以十六进制表示为9F4H,则求Z的十进制真值。

答:

(1)X=-0.11001×2-1, [X ]浮=1.11001×2011111

[X ]浮=0,11111 1.11001

Y=0.10111×22 , [Y ]浮=0.10111×2100010

[Y ]浮=1,000100.10111

(2)[Z]浮= -0.10100×2100111

Z= -80

3.9设一机器数字长16位,求下列各机器数的表示范围:

(1)无符号整数;

(2)原码表示的定点整数;

(3)补码表示的定点整数;

(4)补码表示的定点小数;

(5)非规格化浮点表示,格式为:阶码8位,用移码表示,尾数8位,用补码表示(要求写出最大数、最小数、最大负数、最小正数);

(6)上述浮点格式的规格化浮点表示范围(要求写出最大数、最小数、最大负数、最小正数)。

答:机器字长16位,下列各数的表示范围

(1) 无符号整数0~216-1

(2) 原码定点整数-(215-1) ~ +215-1

(3) 补码定点整数-215 ~ +215-1

(4) 补码定点小数-1 ~ + 1-2-15

(5)、(6) 阶码八位,移码表示,尾数8位,补码表示

最大数最小数最大负数最小正数

非规格化浮点数1,1111111

0.1111111

(1-2-7)×

2+127

1,1111111

1.0000000

-1×2+127

0,0000000

1.1111111

-2-7×2-128

0,0000000

0.0000001

2-7×2-128

规格化浮点数1,1111111

0.11111111

(1-2-7)×

2+127

1,1111111

1.0000000

-1 ×2+127

0,0000000

1.01111111

-(0.5+2-7 )

×2-128

0,0000000

0.1000000

0.5 ×2-128

3.10将下列十进制数转换为IEEE754 单精度浮点数格式:

(1)+36.75 (2)-35/256

答:(1)1,0000100 0.00100110000000000000000=84130000H

(2)0,1111100 1.00011000000000000000000=7C8C0000H

3.11求下列各IEEE754 单精度浮点数的十进制真值:

(1)43990000H (2)00000000H

答:(1)1.10011001*2-60

(2)2-127

3.12在汉字系统中,有哪几种编码?它们各自有什么作用?

略。

3.13汉字库中存放的是汉字的哪一种编码?汉字库的容量如何计算?

字形码。

3.14在一个应用系统中,需要构造一个包含了100个汉字的汉字库,假设采用16×16的汉字字形,问:该汉字库所占存储容量是多少字节?一篇由50个汉字构成的短文,需要占用多少字节的存储容量来存储其纯文本?

3200字节,50*2=100字节

3.15汉字系统的几种编码中,对于某个汉字来说,是惟一的。

A. 输入码

B. 字模码

C. 机内码

3.16若下面的奇偶校验码均正确,请指出哪些是奇校验码,哪些是偶校验码。

(1)10110110奇(2)01111110 偶(3)11011000 偶(4)10100001奇3.17在7位的ASCII码的最高位前面添加一位奇(偶)校验位后,即可构成8位的ASCII 码的奇(偶)校验码。假设字符“A”的这样的奇(偶)校验码为41H,则它是B(1);

字符“C”的这样的(1)是C。01000001

(1): A. 奇校验码 B. 偶校验码

(2): A. 43H B. 87H C. C3H 1100,0011 D. 86H 3.18对于 3.6.2节所介绍的k=8,r=4的能纠错一位的海明码,若编码为100110111100,试判断该海明码是否有误,若有,请纠正,并写出其8位正确的有效信息。

海明码:100010111100,

3.19试设计有效信息为10位的能纠错一位的海明码的编码和译码方案,并写出有效信息0110111001的海明码。

3.20在3.6.2节所介绍有效信息为8位的能纠错一位的海明码基础上,思考如何改进,使其能够达到检错两位并能纠错一位的校验能力。

3.21设生成多项式为X3+X+1(即1011B),请计算有效数据10101的CRC编码。

10101101

3.22试分析3.3节介绍的三种奇偶校验、海明校验和CRC校验三种校验码的检错纠错能力,它们的码距各为多少?

3.23在Motorola系列的微处理器中,数据存放在内存的规则是高位字节存放在低地址单元的,对照图3.10写出各数据在这种情况下的存储方式。

习题4

4.1设X=0.1101,Y= -0.0110,求:

(1)[X]补(2)[-X]补(3)[2X]补(4)[-2X]补

(5)[X/2]补(6)[-X/2]补(7)[Y]补(8)[-Y]补

(9)[2Y]补(10)[-2Y]补(11)[Y/2]补(12)[-Y/2]补

(13)[-Y/4]补

1. (1)[X]补= 0.1101

(2)[-X]补= 1.0011

(3)[2X]补= 0.1010 溢出

(4)[-2X]补= 1.0110 溢出

(5)[X/2]补= 0.0110

(6)[-X/2]补= 1.1001

(7)[Y]补= 1.1010

(8)[-Y]补= 0.0110

(9)[2Y]补=1.0100

(10)[-2Y]补=0.1100

(11)[Y/2]补=1.1101

(12)[-Y/2]补= 0.0010

(13) [-Y/4]补= 0.0001

4.2已知X和Y,用变形补码计算X+Y和X-Y,并指出运算结果是否溢出:

(1)X=0.11011,Y=0.11111

(2)X=-0.1101,Y=0.0110

答:.

(1)[X+Y]补:溢出

[X-Y]补= 1.11100

(2)[X+Y]补=1.1001

[X-Y]补:溢出

4.3试使用两个4位二进制加法器和若干逻辑门电路,设计一位余3码编码的十进制加法器。

(提示:余3码加法的校正规则为:当余3码编码的两个数直接相加后,若结果有进位,则和数加3校正;否则和数减3校正)

图在word下不好画:略:

4.4使用原码一位乘法计算X*Y:

(3)X=0.11101,Y=0.01111

(4)X=-0.10011,Y=0.11010

答:(1)

[X*Y]原=0.0110110011

(2)

[X*Y]原=1.0111101110 4.5使用补码Booth乘法计算X*Y:(5)X=0.01111,Y=-0.11101(6)X=-0.10011,Y=-0.11010答:(1)

[X*Y]补=1.1001001101(2)

[X*Y]补=0.0111101110

4.6分别使用原码恢复余数除法和原码加减交替除法计算X/Y:

(7)X=0.0111,Y=0.1101

(8)X=0.1011,Y=-0.1110

答:(1)原码恢复余数除法: [Qs]原= 0.1000 ; [R]原=0.00001000原码加减交替除法: [Qs]原= 0.1000; [R]原=0.00001000

(2)原码恢复余数除法: [Qs]原= 1.1100 ; [R]原=0.00001000原码加减交替除法: [Qs]原= 1.1100 ; [R]原=0.00001000

4.7使用补码不恢复余数除法计算X/Y:

(9)X=0.0111,Y=0.1101

(10)X=0.1011,Y=-0.1110

答:(1)[Qs]补= 0.1001; [R]补=0.00001110

(2)[Qs]补= 1.0011 ; [R]补=0.00001100

4.8设浮点数的格式为:阶码5位,尾数6位,均用补码表示,请计算X+Y和X-Y。(阶码和尾数均用补码计算)。

(11)X= -1.625,Y=5.25

(12)X=15/64,Y= -29/256

答:(1)[X+Y]补=0,0010 0.11101; X+Y=0.11101×20010

[X-Y]补=0,0011 1.00101; X-Y=-0.11011×20011

(2)[X+Y]补=1,1101 0.11111; X+Y=0.11111×2 – 0011

[X-Y]补=1,1111 0.10110; X-Y=0.101102 - 0001

4.9设浮点数的格式为:阶码5位,用移码表示,尾数6位,用补码表示,请计算X*Y和X/Y (阶码用移码计算,尾数用任何一种机器数的串行乘除算法计算)。

(13)X=5.25,Y= -1.625

(14)X= -29/256,Y=15/64

答:(1)[X×Y]浮=1,0100 1.01111; X*Y=-0.10001×20100

[X/Y]浮= 1,0010 1.00111; X/Y=-0.11001×20010

(2)[X×Y]浮=0,1011 1.00101; X*Y=-0.11011×2-0101

[X/Y]浮=0,1111 1.00001; X/Y=-0.11111×2-0001

计算机组成原理第一章题目(含答案)

第一章计算机系统概论第一章单元测验 1、计算机硬件能直接执行的是 A、高级语言 B、机器语言 C、汇编语言 D、任何语言 2、下列说法中,错误的是 A、软件与硬件具有逻辑功能的等价性 B、固件功能类似软件,形态类似硬件 C、计算机系统层次结构中,微程序属于硬件级 D、寄存器的数据位对微程序级用户透明 3、完整的计算机系统通常包括 A、运算器、控制器、存储器 B、主机、外部设备 C、主机和应用软件 D、硬件系统与软件系统 4、计算机的字长与下列哪项指标密切相关 A、运算精确度 B、运算速度 C、内存容量 D、存取速度 5、CPU地址线数量与下列哪项指标密切相关 A、运算精确度 B、运算速度 C、内存容量 D、存储数据位 6、下列属于冯?诺依曼计算机的核心思想是 A、存储器按地址访问 B、存储程序和程序控制 C、采用补码 D、采用总线

7、下列关于计算机系统层次结构的描述中,正确的是 A、不同层次面向不同用户,看到计算机的属性不同 B、低层代码执行效率比高层代码执行效率高 C、低层用户对硬件的透明性比高层用户要低 D、指令集架构层是软、硬件间的接口 8、下列关于硬件与软件关系的描述中,正确的是 A、硬件是软件运行的基础 B、硬件的发展推动了软件的发展 C、软件的发展也推动硬件的发展 D、软件能完成的功能及性能与硬件有关 9、下列关于计算机字长的描述中正确的是 A、字长一般与运算器的数据位相同 B、字长一般与通用寄存器的位数相同 C、字长一般与存储器数据位相同 D、字长一般与存储器的地址位相同 10、下列可用于评价计算机系统性能的指标是 A、MIPS B、CPI C、IPC D、字长 11、下列计算机系统性能评价的描述中正确的是 A、程序MIPS值越高,计算机的性能越高 B、程序的CPI值越低,计算机的性能越高 C、主频高的机器性能不一定高 D、同一程序在不同机器上运行时得到的MIPS值不一定相同 12、访问256KB的存储空间,需要的地址线数最少为( )根?(只需要填阿拉伯数字) 13、程序必须存放在哪里才能被CPU访问并执行 14、某计算机指令集中共有A、B、C、D四类指令,它们占指令系统的比例分别为40% 、20%、20%、20%, 各类指令的CPI分别为2、3、4、5;该机器的主频为600MHZ,则该机的CPI 为(保留到小数点后一位) 15、某计算机指令集中共有A、B、C、D四类指令,它们占指令系统的比例分别为40% 、20%、20%、20%, 各类指令的CPI分别为2、3、4、5;该机器的主频为600MHZ,则该机的MIPS为(保留到小数点后一位) 参考答案如下:

天大2016年12月《计算机组成原理》期末大作业考核要求

计算机组成原理 要求: 1.独立完成,作答时要按照模版信息 ....填写完整,写明题型、题号; 2.作答方式:手写作答或电脑录入,使用学院统一模版(模版详见附件); 3.提交方式:以下两种方式任选其一, 1)手写作答的同学可以将作业以图片形式打包压缩上传; 2)提交电子文档的同学可以将作业以word文档格式上传; 4.上传文件命名为“中心-学号-姓名-科目.rar”或“中心-学号-姓名-科 目.doc”; 5.文件容量大小:不得超过10MB。 请在以下几组题目中,任选一组题目作答,满分100分。 第一组: 一、论述题(20分) 1、简述:一条指令通常由哪些部分组成?简述各部分的功能。 二、分析题(30分) 1、指令格式结构如下所示,使分析指令格式以及寻址方式特点。 15 10 9 5 4 0 1. 有一台磁盘机器,平均寻道时间为30ms,平均旋转等待时间为120ms,数据传输速率为500B/ms,磁盘机桑存放着1000件每件3000B的数据。现欲把一件数据取走,更新后放回原地,假设一次取出或写入所需时间为:平均寻道时间+平均等待时间+数据传送时间。另外,使用CPU更新信息所需时间为4ms,并且更新时间同输入输出操作不相重叠。试问:(20分)(1)更新磁盘上全部数据需要多少时间? (2)若磁盘以及旋转速度和数据传输率都提高一倍,更新全部数据需要多少时间?2、有一个具有20位地址和32位字长的存储器,问:(30分) (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片? (3)需要多少位地址作为芯片选择?

第二组: 一、论述题(20分) 1、解释术语:总线周期。 二、分析题(30分) 1、CPU结构图如下图所示,其中有一个累加寄存器AC,各部分之间的连线表示数据通路,剪头表示信息传送方向。 (1)标明图中四个存储器的名称。 (2)简述指令从主存取到控制器的数据通路。 (3)简述数据在运算器和主存之间进行存/取访问的数据通路。 三、计算题(共50分) 1、已知某磁盘存储器转速为2400转/分,每个记录面道数为200道,平均查找时间为60ms,每道存储容量为96Kbit,求磁盘的存取时间与数据传播率。(20分) 2、今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,近假设完成各部操作的时间依次为100ns,100ns,80ns,50ns。请问:(30分) (1)流水线的操作周期应设计为多少? (2)若相邻两条指令发生数据相关,并且在硬件上不采取措施,那么第二条指令推迟多少时间进行? (3)若果在硬件设计上加以改进,至少推迟多少时间?

智慧树知到《计算机组成原理》章节测试答案

智慧树知到《计算机组成原理》章节测试答案第一章 1、下列不属于计算机特点的是。 A:计算速度快 B:具有复杂的逻辑判断能力 C:计算可靠性高 D:能完成任何工作 正确答案:能完成任何工作 2、目前我们所说的个人台式商用机属于。 A:巨型机 B:中型机 C:小型机 D:微型机 正确答案:微型机 3、微型机的发展以技术为标志。 A:微处理器 B:磁盘 C:操作系统 D:软件 正确答案:微处理器 4、物理器件采用晶体管的计算机被称为。 A:第一代计算机

B:第二代计算机 C:第三代计算机 D:第四代计算机 正确答案:第二代计算机 5、计算机的运算速度只与机器的主频相关。 A:对 B:错 正确答案:错 6、存储器的容量应该包括主存容量和辅存容量。 A:对 B:错 正确答案:对 7、控制器用来完成算术运算和逻辑运算。 A:对 B:错 正确答案:错 8、输入设备将机器运算结果转换成人们熟悉的信息形式。A:对 B:错 正确答案:错 9、汇编语言是计算机能直接识别的语言。 A:对

B:错 正确答案:错 10、计算机硬件和软件是相辅相成、缺一不可的。 A:对 B:错 正确答案:对 第二章 1、若十六进制数为B5.4,则相应的十进制数为。 A:176.5 B:176.25 C:181.25 D:181.5 正确答案:181.25 2、一个 C 语言程序在一台32 位机器上运行。程序中定义了三个变量x、y和z ,其中x 和z 是int型,y 为short 型。当x=127,y=-9 时,执行赋值语句z=x+y 后,x、y和z的值分别是。 A:X=0000007FH,y=FFF9H,z=00000076H B:X=0000007FH,y=FFF9H,z=FFFF0076H C:X=0000007FH,y=FFF7H,z=FFFF0076H D:X=0000007FH,y=FFF7H,z=00000076H 正确答案:X=0000007FH,y=FFF7H,z=00000076H 3、在定点二进制运算器中,减法运算一般是通过实现的。 A:原码运算的二进制减法器

计算机组成原理课后习题答案解析

作业解答 第一章作业解答 1.1 基本的软件系统包括哪些内容? 答:基本的软件系统包括系统软件与应用软件两大类。 系统软件是一组保证计算机系统高效、正确运行的基础软件,通常作为系统资源提供给用户使用。包括:操作系统、语言处理程序、数据库管理系统、分布式软件系统、网络软件系统、各种服务程序等。 1.2 计算机硬件系统由哪些基本部件组成?它们的主要功能是什么? 答:计算机的硬件系统通常由输入设备、输出设备、运算器、存储器和控制器等五大部件组成。 输入设备的主要功能是将程序和数据以机器所能识别和接受的信息形式输入到计算机内。 输出设备的主要功能是将计算机处理的结果以人们所能接受的信息形式或其它系统所要求的信息形式输出。 存储器的主要功能是存储信息,用于存放程序和数据。 运算器的主要功能是对数据进行加工处理,完成算术运算和逻辑运算。 控制器的主要功能是按事先安排好的解题步骤,控制计算机各个部件有条不紊地自动工作。 1.3 冯·诺依曼计算机的基本思想是什么?什么叫存储程序方式? 答:冯·诺依曼计算机的基本思想包含三个方面: 1) 计算机由输入设备、输出设备、运算器、存储器和控制器五大部件组成。 2) 采用二进制形式表示数据和指令。 3) 采用存储程序方式。 存储程序是指在用计算机解题之前,事先编制好程序,并连同所需的数据预先存入主存储器中。在解题

过程(运行程序)中,由控制器按照事先编好并存入存储器中的程序自动地、连续地从存储器中依次取出指令并执行,直到获得所要求的结果为止。 1.4 早期计算机组织结构有什么特点?现代计算机结构为什么以存储器为中心? 答:早期计算机组织结构的特点是:以运算器为中心的,其它部件都通过运算器完成信息的传递。 随着微电子技术的进步,人们将运算器和控制器两个主要功能部件合二为一,集成到一个芯片里构成了微处理器。同时随着半导体存储器代替磁芯存储器,存储容量成倍地扩大,加上需要计算机处理、加工的信息量与日俱增,以运算器为中心的结构已不能满足计算机发展的需求,甚至会影响计算机的性能。为了适应发展的需要,现代计算机组织结构逐步转变为以存储器为中心。 1.5 什么叫总线?总线的主要特点是什么?采用总线有哪些好处? 答:总线是一组可为多个功能部件共享的公共信息传送线路。 总线的主要特点是共享总线的各个部件可同时接收总线上的信息,但必须分时使用总线发送信息,以保证总线上信息每时每刻都是唯一的、不至于冲突。 使用总线实现部件互连的好处: ①可以减少各个部件之间的连线数量,降低成本; ②便于系统构建、扩充系统性能、便于产品更新换代。 1.6 按其任务分,总线有哪几种类型?它们的主要作用是什么? 答:按总线完成的任务,可把总线分为:CPU内部总线、部件内总线、系统总线、外总线。 1.7 计算机的主要特点是什么? 答:计算机的主要特点有:①能自动连续地工作;②运算速度快;③运算精度高;④具有很强的存储能力

计算机组成原理第四版课后习题答案完整版

第一章 1.比较数字计算机和模拟计算机的特点 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的; 数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。 两者主要区别见P1 表1.1。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、 中型机、小型机、微型机和单片机六类。 分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、 指令系统规模和机器价格等因素。

3.数字计算机有那些主要应用? (略) 4.冯. 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯. 诺依曼型计算机的主要设计思想是:存储程序和程序控制。 存储程序:将解题的程序(指令序列)存放到存储器中; 程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。 主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB、MB、GB来度量,存储容 量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。 单元地址:单元地址简称地址,在存储器中每个存储单

元都有唯一的地址编号,称为单元地 址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。 指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序? 解:指令:计算机所执行的每一个基本的操作。 程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。 7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的 信息即为数据信息。 8.什么是内存?什么是外存?什么是CPU?什么是适配器?简述其功能。

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

计算机组成原理 课后答案

第一章计算机系统概论p.19 1.1什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 计算机系统:计算机硬件、软件和数据通信设备的物理或逻辑的综合体 计算机硬件:计算机的物理实体 计算机软件:计算机运行所需的程序及相关资料 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要 1.2如何理解计算机系统的层次结构? 实际机器M1向上延伸构成了各级虚拟机器,机器M1内部也可向下延伸而形成下一级的微程序机器M0,硬件研究的主要对象归结为传统机器M1和微程序机器M0,软件研究对象主要是操作系统及以上的各级虚拟机 1.3说明高级语言、汇编语言和机器语言的差别及其联系。 机器语言是可以直接在机器上执行的二进制语言 汇编语言用符号表示指令或数据所在存储单元的地址,使程序员可以不再使用繁杂而又易错的二进制代码来编写程序 高级语言对问题的描述十分接近人们的习惯,并且还具有较强的通用性 1.4如何理解计算机组成和计算机体系结构? 计算机体系结构是对程序员可见的计算机系统的属性 计算机组成对程序员透明,如何实现计算机体系结构所体现的属性 1.5冯·诺依曼计算机的特点是什么? 由运算器、控制器、存储器、输入设备、输出设备五大部件组成 指令和数据以同一形式(二进制形式)存于存储器中 指令由操作码、地址码两大部分组成 指令在存储器中顺序存放,通常自动顺序取出执行 以运算器为中心(原始冯氏机) 1.6画出计算机硬件组成框图,说明各部件的作用及计算机硬件的主要技术指标。 计算机硬件各部件 运算器:ACC, MQ, ALU, X 控制器:CU, IR, PC 主存储器:M, MDR, MAR I/O设备:设备,接口 计算机技术指标: 机器字长:一次能处理数据的位数,与CPU的寄存器位数有关 存储容量:主存:存储单元个数×存储字长 运算速度:MIPS, CPI, FLOPS 1.7解释概念 主机:计算机硬件的主体部分,由CPU+MM(主存或内存)组成 CPU:中央处理器,是计算机硬件的核心部件,由运算器+控制器组成 主存:计算机中存放正在运行的程序和数据的存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成 存储单元:可存放一个机器字并具有特定存储地址的存储单位 存储元件/存储基元/存储元:存储一位二进制信息的物理元件,是存储器中最小的存储单位,不能单独存取 存储字:一个存储单元所存二进制代码的逻辑单位 存储字长:一个存储单元所存二进制代码的位数

《计算机组成原理A》形考作业二答案

计算机组成原理A形成性考核作业二(参考答案) 一、选择题: 1.计算机硬件能直接识别和运行的只能是_______程序。 A.机器语言B.汇编语言C.高级语言D.VHDL 答:A 2.指令中用到的数据可以来自_______(可多选)。 A.通用寄存器B.微程序存储器C.输入输出接口D.指令寄存器 E. 内存单元 F. 磁盘 答:A、C、E 3.汇编语言要经过_______的翻译才能在计算机中执行。 A.编译程序B.数据库管理程序C.汇编程序D.文字处理程序 答:C 4.在设计指令操作码时要做到_______(可多选)。 A.能区别一套指令系统中的所有指令 B.能表明操作数的地址 C.长度随意确定 D.长度适当规范统一 答:A、B、D 5.控制器的功能是_______。 A.向计算机各部件提供控制信号B.执行语言翻译 C.支持汇编程序D.完成数据运算 答:A 6.从资源利用率和性能价格比考虑,指令流水线方案_______,多指令周期方案_______,单指令周期方案_______。 A.最好B.次之C.最不可取D.都差不多 答:A、B、C 二、判断题:判断下列说法是否正确,并说明理由。 1.变址寻址需要在指令中提供一个寄存器编号和一个数值。√ 2.计算机的指令越多,功能越强越好。× 3.程序计数器PC主要用于解决指令的执行次序。√ 4.微程序控制器的运行速度一般要比硬连线控制器更快。× 三、简答题: 1.一条指令通常由哪两个部分组成?指令的操作码一般有哪几种组织方式?各自应用在什么场合?各自的优缺点是什么? 答:一条指令通常由操作码和操作数两个部分组成。 指令的操作码一般有定长的操作码、变长的操作码两种组织方式。 定长操作码的组织方式应用在当前多数的计算机中;变长的操作码组织方式一般用在小型及以上的计算机当中。 定长操作码的组织方式对于简化计算机硬件设计,提高指令译码和识别速度有利。 变长的操作码组织方式可以在比较短的指令字中,既能表示出比较多的指令条数,又能尽量满足给出相应的操作数地址的要求。 2.如何在指令中表示操作数的地址?通常使用哪些基本寻址方式? 答:是通过寻址方式来表示操作数的地址。 通常使用的基本寻址方式有:立即数寻址、直接寻址、寄存器寻址、寄存器间接寻址、变址寻址、相对寻址、间接寻址、堆栈寻址等。

计算机组成原理大作业定稿版

计算机组成原理大作业 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

计算机组成原理 大作业 院(系):物联网工程学院 专业: 计算机科学与技术 班级: 学号: 姓名: 摘要 1.计算机硬件系统:到目前为止,计算机仍沿用由冯.诺依曼首先提出的基于总线的计算机硬件系统。其基本设计思想为: a.以二进制形式表示指令和数据。 b.程序和数据事先存放在存储器中,计算机在工作时能够高速地从存储器中取出指令加以执行。 c.由运算器、控制器、存储器、输入设备和输出设备等五大部件组成计算机硬件系统。 2.计算机软件系统:所谓软件,就是为了管理、维护计算机以及为完成用户的某种特定任务而编写的各种程序的总和。计算机的工作就是运行程序,通过逐条的从存储器中取

出程序中的指令并执行指令所规定的操作而实现某种特定的功能。微型计算机的软件包括系统软件和用户(应用)软件。 关键词:计算机系统硬件存储器控制器运算器软件 目录 摘要 (2) 第一章总体设计 (4) 问题描述 (4) 实验环境 (4) 软件介绍 (4) 模块介绍 (4) 实验目的 (5) 实验内容 (5) 第二章原理图 (6) 第三章管脚分配 (7) 第四章微程序设计 (8) 1. alu_74181 (8)

2. romc (9) 第一章总体设计 问题描述 从两个reg_74244中分别取出两数经过总线,各自分别到达两个寄存器reg_74373,再由两个寄存器到达运算器alu_74181,在运算器里经过运算得出结果,结果再由总线传输进入另外的一个寄存器reg_74373,输出。 实验环境 软件介绍 ISE的全称为Integrated Software Environment,即“集成软件环境”,是Xilinx公司的硬件设计工具。它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大。ISE除了功能完整,使用方便外,它的设计性能也非常好,拿ISE 9.x来说,其设计性能比其他解决方案平均快30%,它集成的时序收敛流程整合了增强性物理综合优化,提供最佳的时钟布局、更好的封装和时序收敛映射,从而获得更高的设计性能。 模块介绍 微程序控制器 微程序控制器是一种控制器,同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被

计算机组成原理第1章习题与答案

计算机组成原理第1章习题与答案 一、选择题 1.从器件角度看,计算机经历了五代变化。但从系统结构看,至今绝大多数计算机仍属于()计算机。 A.并行 B.冯·诺依曼 C.智能 D.串行 2.冯·诺依曼机工作的基本方式的特点是()。 A.多指令流单数据流 B.按地址访问并顺序执行指令 C.堆栈操作 D.存贮器按内容选择地址 3.在下面描述的汇编语言基本概念中,不正确的表述是()。 A.对程序员的训练要求来说,需要硬件知识 B.汇编语言对机器的依赖性高 C.用汇编语言编写程序的难度比高级语言小 D.汇编语言编写的程序执行速度比高级语言慢 4.(2009年考研题)冯·诺依曼计算机中指令和数据均以二进制形式存放在存储器中,CPU区分它们的依据是()。 A.指令操作码的译码结果 B.指令和数据的寻址方式 C.指令周期的不同阶段 D.指令和数据所在的存储单元 5.(2011年考研题)下列选项中,描述浮点数操作速度指标的是()。 A.MIPS B.CPI C.IPC D.MFLOPS 6.(2012年考研题)基准程序A在某计算机上的运行时间为100秒,其中90秒为CPU时间,其它时间忽略不计。若CPU速度提高50%,I/O速度不变,则基准程序A所耗费的时间是()秒。 A.55 B.60 C.65 D.70 7.(2013年考研题)某计算机主频为1.2 GHz,其指令分为4类,它们在基准程序中所占比例及CPI如下表所示。 该机的MIPS数是()。 A.100 B.200 C.400 D.600

8.(2014年考研题)程序P在机器M上的执行时间是20s,编译优化后,P 执行的指令数减少到原来的70%,而CPI增加到原来的1.2倍,则P在M上的执行时间是()。 A.8.4秒 B.11.7秒 C.14秒 D.16.8秒 9.(2015年考研题)计算机硬件能够直接执行的是()。 Ⅰ.机器语言程序Ⅱ.汇编语言程序Ⅲ.硬件描述语言程序 A.仅Ⅰ B.仅ⅠⅡ C.仅ⅠⅢ D.ⅠⅡⅢ 二、名词解释 1.吞吐量2.响应时间3.利用率 4.处理机字长5.总线宽度6.存储器容量 7.存储器带宽8.主频/时钟周期9.CPU执行时间 10.CPI 11.MIPS 12.FLOPS 三、简答题 1.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 2.冯·诺依曼计算机体系结构的基本思想是什么?按此思想设计的计算机硬件系统应由哪些部件组成? 3.计算机系统分为哪几个层次?每层分别用软件还是硬件实现?

[0013]计算机组成原理 答案

- 1 - 西南大学培训与继续教育学院课程考试试题卷 学期:2020年秋季 课程名称【编号】: 计算机组成原理 【0013】 A 卷 考试类别:大作业 满分:100 分 一、大作业题目 1. 已知:x= 0.1011,y = - 0.0101,求 :[ 21x]补,[ 41 x]补,[ - x ]补,[21y]补,[4 1 y]补,[ - y ]补 1. 解: [ x ]补du = 0.1011 , [ y ]补 = 1.1011 [1/2 x ]补 = 0.01011 , [1/2y]补 = 1.11011 [1/4 x ]补 = 0.001011 ,[ 1/4 y ]补 = 1.111011 [ - x ]补 = 1.0101 , [ - y ]补 =0.0101 2.指令有哪些寻址方式?设指令格式如下所示,其中OP 为操作码,试分析指令格式特点。 18 12 11 10 9 5 4 0 OP ---------- 源寄存器 目标寄存器 3.动态存储器为什么要刷新?刷新有哪些方法?完成由2K ×4位芯片构成4K ×8位存储器连接图。 动态MOS 存储单元存储信息的原理,是利用MOS 管栅极电容具有暂时存储信息的作用。但由于漏 电流的存在,栅极电容上存储的电荷不可能长久保持不变,因此为了及时补充漏掉的电荷,避免存储信息丢失,需要定时地给栅极电容补充电荷,通常把这种操作称作刷新或再生。 常用的刷新方式有三种,一种是集中式,另一种是分散式,第三种是异步式。 集中式刷新:在整个刷新间隔内,前一段时间重复进行读/写周期或维持周期,等到需要进行刷新操作时,便暂停读/写或维持周期,而逐行刷新整个存储器,它适用于高速存储器。 分散式刷新:把一个存储系统周期t c 分为两半,周期前半段时间t m 用来读/写操作或维持信息,周期后半段时间t r 作为刷新操作时间。这样,每经过128个系统周期时间,整个存储器便全部刷新一遍。 异步式刷新:前两种方式的结合 芯片数=总容量/容量=4k*8÷2k*4=4片。将每四块分为一组,形成32位的数据宽度,根据该储存容量大小一共需要16位地址线(可以根版据储存容量除以数据宽度来确定)。 将32K*8芯片组成128K*16的只读度器,所以首先位扩展将数据线8扩展到16,即D0~D15,然问后字 扩展32K 是15条地址线,128是17条地址线,所以要答用2/4译码器将地址线15扩展到17,需要用到的芯片是(128/32)*(16/8)=8,连接如图所示!红色为A0~A14的地址总线。 4. 今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,今假设完成各步操作的时间依次为100ns,100ns,80ns,50ns 。请问:(1)流水线的操作周期应设计为多少?(2)若相邻两条加减法指令发生数据相关(ADD :R1,R2,R3 ;R2+R3->R1与SUB :R4,R1,R5;R1-R5->R4),而且在硬件上不采取措施,那么第二条指令要推迟多少时间进行。(3)如果在硬件设计上加以改进,至少需推迟多少时间? 5.什么是中断?什么是多重中断?假定硬件原来的响应顺序为0→1→2,试设置中断屏蔽字,将中断优先级改为1→2→0。(注:中断允许用“0”表示,中断禁示“1”表示)。 二、大作业要求 大作业共需要完成三道题: 第1-2题选作一题,满分35分;

计算机组成原理(第二版)唐朔飞 各章节知识点

第一章知识总结(一) 2017-04-19马辉安阳师院mh 一个完整的计算机系统包括了硬件和 软件两个子系统。 硬件部分按冯诺依曼观点分为运算器、控制器、存储器、输入设备和输出设 备五大功能部件。它们之间用系统总 线进行连接。系统总线按传输内容分 地址总线、数据总线和控制总线三类。 软件部分包括系统软件和应用软件两类,它们通常使用机器语言、汇编语 言和高级语言三种计算机语言进行编写。由于机器硬件电路只能识别用0、1编写成的机器语言程序,所以用汇编或高级语言编写的源程序在运行前需 使用汇编程序、编译程序或解释程序 进行翻译。 软件的狭义观点是:软件是人们编制 的具有各类特殊功能的程序,广义观 点是:软件是程序以及开发、使用和 维护程序需要的所有文档。 为了简化对复杂的计算机系统的理解,对计算机系统进行了层次结构划分, 通常分为微程序机器、传统机器语言 机器、操作系统虚拟机、汇报语言虚 拟机、高级语言虚拟机等。从不同角度、层次理解机器的功能与使用方法,简化了需要掌握的知识内容。 虚拟机:依赖于一定的系统软件,所 体现出的具有某种结构、功能和使用 方法的计算机。计算机组成原理关注传统机器语言机 器M1和微程序机器M0,它们是实际机器,所看到的机器功能与结构由硬件 电路直接实现。 冯诺依曼关于计算机结构的观点: 1、计算机由五大功能部件组成。 2、指令和数据均用二进制数表示,以同等地位存放于存储器中。 3、存储器按地址进行访问。 4、指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来 表示操作数在存储器中的位置。 5、指令在存储器内按顺序存放,通常被顺序执行,在特定条件下,可根据 运算结果或设定的条件改变执行顺序。 6、机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器 完成。 现代大部分机器仍采用“存储程序” 思想构建,仍属于冯诺依曼结构的计 算机。 典型的冯诺依曼计算机以运算器为中心,现代计算机转化为以存储器为中心。 现代计算机可认为由三大部分组成:CPU(包含了运算器和控制器、及高速缓存)、I/O设备及主存储器。CPU和主存合起来称主机(及电源、总线与 I/O接口),I/O设备也称外设。

计算机组成原理第二版课后习题答案

第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解: 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 2. 如何理解计算机的层次结构? 答:计算机硬件、系统软件和应用软件构成了计算机系统的三个层次结构。 (1)硬件系统是最内层的,它是整个计算机系统的基础和核心。 (2)系统软件在硬件之外,为用户提供一个基本操作界面。 (3)应用软件在最外层,为用户提供解决具体问题的应用系统界面。 通常将硬件系统之外的其余层称为虚拟机。各层次之间关系密切,上层是下层的扩展,下层是上层的基础,各层次的划分不是绝对的。 3. 说明高级语言、汇编语言和机器语言的差别及其联系。 答:机器语言是计算机硬件能够直接识别的语言,汇编语言是机器语

言的符号表示,高级语言是面向算法的语言。高级语言编写的程序(源程序)处于最高层,必须翻译成汇编语言,再由汇编程序汇编成机器语言(目标程序)之后才能被执行。 4. 如何理解计算机组成和计算机体系结构? 答:计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,如指令系统、数据类型、寻址技术组成及I/O机理等。计算机组成是指如何实现计算机体系结构所体现的属性,包含对程序员透明的硬件细节,如组成计算机系统的各个功能部件的结构和功能,及相互连接方法等。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大 部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访 问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的 性质,地址码用来表示操作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。

计算机组成原理作业

计算机组成原理作业 1 某机主存容量为4M×16位,且存储字长等于指令字长,若该机指令系统能完成97种操作,操作码位数固定,且具有直接、间接、变址、基址、相对、立即等六种寻址方式。 (1)画出一地址指令格式并指出各字段的作用。 (2)该指令直接寻址的最大范围。 (3)一次间址和多次间址的寻址范围。 (4)立即数的范围(十进制数表示。) (5)相对寻址的位移量(十进制数表示)。 (6)上述六种寻址方式的指令哪一种执行时间最短?哪一种最长?哪一种便于用户编制处理数组问题的程序?哪一种便于程序浮动? 为什么? (7)如何修改指令格式,使指令的直接寻址范围可扩大到4M? (8)为使一条转移指令能转移到主存的任一位置,可采取什么措施?请简要说明。 2 假设某机的指令长度可变(长度在1~4个字节内变化)。而且CPU 与存储器的数据的传送宽度为32位(每次读取32位)。试问如何区分一个存储字包含多少条指令? 3 说明数据在存储器中可以按“边界对准”或“边界不对准”两种方式存放的特点,并分析其利弊。 4 假设指令字长为16位,操作数的地址码位6位,指令有零地址、一地址、二地址、三地址格式。

(1)设操作码固定,若零地址指令有M种,一地址指令有N种,则二地址指令最多有几种? (2)采用扩展操作码技术,二地址指令最多有几种? (3)采用扩展操作码技术,若二地址指令有P条,零地址指令有Q 条,则一地址指令最多有几种? 5 某机器采用一地址格式的指令系统,允许直接和间接寻址。机器配备有如下硬件: ACC、MAB、MDR、PC、X、MQ、IR以及变址寄存器R X和基址寄存器R B,均为16位。 (1)若采用单字长指令,共能完成105种操作,则指令可直接寻址的范围是多少?一次间址的寻址范围是多少?画出其指令格式并说明个字段的含义。 (2)若采用双字长指令,操作码位数及寻址方式不变,则指令可直接寻址的范围是多少?画出其指令格式并说明个字段的含义。(3)若存储字长不变,可采用什么方法访问容量为8MB的主存?需增设哪些硬件? 6 设相对寻址的转移指令占两个字节,第一个字节是操作码,第二个字节是相对位移量,用补码表示。每当CPU从存储器取出一个字节时,即自动完成(PC)+1—>PC。 (1)设当前PC值为3000H,试问转移后的目标地址范围是什么?(2)设当前PC值为2000H,要求转移到201BH,则转移指令的第二字节的内容是什么?

计算机组成原理_在线作业_2

计算机组成原理_在线作业_2 交卷时间:2016-06-11 14:27:15 一、单选题 1. (5分) 用于对某个寄存器中操作数的寻址方式称为()寻址。 ? A. 间接 ? B. 寄存器直接 ? C. 寄存器间接 ? D. 直接 纠错 得分:5 知识点:4.4 典型指令 展开解析 答案B 解析 2. (5分) 程序查询方式的接口电路中应该包括()。 ? A. 设备选择电路、设备控制电路、设备状态标志 ? B. 设备选择电路、数据缓冲寄存器、设备控制电路

? C. 设备选择电路、数据缓冲寄存器、设备状态标志 ? D. 设备控制电路、数据缓冲寄存器、设备状态标志 纠错 得分:0 知识点:8.2 程序查询方式 展开解析 答案C 解析 3. (5分) 1946年研制成功的第一台电子数字计算机称为(),1949年研制成功的第一台程序内存的计算机称为()。 ? A. ENIAC , UNIVACI ? B. EDVAC ,MARKI ? C. ENIAC , MARKI ? D. ENIAC , EDSAC 纠错 得分:5 知识点:1.1 计算机发展概述 展开解析 答案D 解析 4. (5分) 以下四种类型的半导体存储器中,以传输同样多的字为比较条件,则读出数据传输

率最高的是()。 ? A. 闪速存储器 ? B. SRAM ? C. EPROM ? D. DRAM 纠错 得分:5 知识点:3.4 高速存储器 展开解析 答案A 解析 5. (5分) 一个存储单元是指能够存放()的所有存储单元的集合。 ? A. 两个字节 ? B. 一个字节 ? C. 一个二进制信息位 ? D. 一个机器字 纠错 得分:5 知识点:1.3 计算机的基本组成 展开解析 答案D 解析 6.

计算机组成原理习题章带答案

计算机组成原理习题章 带答案 集团文件版本号:(M928-T898-M248-WU2669-I2896-DQ586-M1988)

第四章 1.一个容量为16K×32位的存储器,其地址线和数据线的总和是多少?当选用下列不同规格的存储芯片时,各需要多少片? 1K×4位,2K×8位,4K×4位,16K×1位,4K×8位,8K×8位 地址线和数据线的总和 = 14 + 32 = 46根; 选择不同的芯片时,各需要的片数为: 1K×4:(16K×32) / (1K×4) = 16×8 = 128片 2K×8:(16K×32) / (2K×8) = 8×4 = 32片 4K×4:(16K×32) / (4K×4) = 4×8 = 32片 16K×1:(16K×32)/ (16K×1) = 1×32 = 32片 4K×8:(16K×32)/ (4K×8) = 4×4 = 16片 8K×8:(16K×32) / (8K×8) = 2×4 = 8片 2.现有1024×1的存储芯片,若用它组成容量为16K×8的存储器。试求: (1)实现该存储器所需的芯片数量? (2)若将这些芯片分装在若干块板上,每块板的容量为4K×8位,该存储器所需的地址线总位数是多少?其中几位用于选板?几位用于选片?几位用做片内地址? 16K×8=2^14×8,地址线为14根.4K×8容量的板,共需要4块板子.则14根地址线的最高2位用于板选(00~11,第1块板子~第4块板 子),4K*8位=2^12*8位=12*1K*8位,也就是在每块板子内需要4*8个芯片,而每8个芯片组成8位,也就是位扩展.也就是说需要4组,则除了

计算机组成原理作业讲解1-4章 答案

1.1 概述数字计算机的发展经过了哪几个代?各代的基本特征是什么? 略。 1.2 你学习计算机知识后,准备做哪方面的应用? 略。 1.3 试举一个你所熟悉的计算机应用例子。 略。 1.4 计算机通常有哪些分类方法?你比较了解的有哪些类型的计算机? 略。 1.5 计算机硬件系统的主要指标有哪些? 答:机器字长、存储容量、运算速度、可配置外设等。 答:计算机硬件系统的主要指标有:机器字长、存储容量、运算速度等。 1.6 什么是机器字长?它对计算机性能有哪些影响? 答:指CPU一次能处理的数据位数。它影响着计算机的运算速度,硬件成本、指令系统功能,数据处理精度等。 1.7 什么是存储容量?什么是主存?什么是辅存? 答:存储容量指的是存储器可以存放数据的数量(如字节数)。它包括主存容量和辅存容量。 主存指的是CPU能够通过地址线直接访问的存储器。如内存等。 辅存指的是CPU不能直接访问,必须通过I/O接口和地址变换等方法才能访问的存储器,如硬盘,u盘等。 1.8 根据下列题目的描述,找出最匹配的词或短语,每个词或短语只能使用一次。(1)为个人使用而设计的计算机,通常有图形显示器、键盘和鼠标。 (2)计算机中的核心部件,它执行程序中的指令。它具有加法、测试和控制其他部件的功能。 (3)计算机的一个组成部分,运行态的程序和相关数据置于其中。 (4)处理器中根据程序的指令指示运算器、存储器和I/O设备做什么的部件。 (5)嵌入在其他设备中的计算机,运行设计好的应用程序实现相应功能。 (6)在一个芯片中集成几十万到上百万个晶体管的工艺。 (7)管理计算机中的资源以便程序在其中运行的程序。 (8)将高级语言翻译成机器语言的程序。 (9)将指令从助记符号的形式翻译成二进制码的程序。 (10)计算机硬件与其底层软件的特定连接纽带。 供选择的词或短语: 1、汇编器 2、嵌入式系统 3、中央处理器(CPU) 4、编译器 5、操作系统 6、控制器 7、机器指令 8、台式机或个人计算机 9、主存储器10、VLSI 答:(1)8,(2)3,(3)9,(4)6,(5)2, (6)10,(7)5,(8)4,(9)1,(10)7 计算机系统有哪些部分组成?硬件由哪些构成? 答:计算机系统硬件系统和软件系统组成。 硬件由控制器、存储器、运算器、输入设备和输出设备五大部件构成 1.9 冯·诺伊曼V on Neumann计算机的主要设计思想是什么? 略。 1.10 计算机硬件有哪些部件,各部件的作用是什么?

计算机组成原理课程设计

计算机组成原理课程设 计 WTD standardization office【WTD 5AB- WTDK 08- WTD 2C】

《计算机组成原理》大作业报告 题目名称:交通灯控制系统设计 学院(部):计算机学院 专业:计算机科学与技术 学生姓名: 班级 学号 最终评定成绩: 湖南工业大计算机学院 目录 摘要 交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流

量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。 软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 1. 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 19xx年,又出现了带控制的红绿灯和红外线红绿灯。带控制的 4 红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉

相关主题
文本预览
相关文档 最新文档