优先编码器PPT
- 格式:ppt
- 大小:201.00 KB
- 文档页数:9
优先编码器一、设计任务:描述一个优先编码器。
该电路有8个输入端d(8位),3个输出端y(3位)。
二、算法设计:用if语句描述电路,利用真值表辅助,编写出程序。
三、端口图:四、真值表真值表d7 d6 d5 d4 d3 d2 d1 d0 y2 y1 y00 x x x x x x x 0 0 01 0 x x x x x x 0 0 11 1 0 x x x x x 0 1 01 1 1 0 x x x x 0 1 11 1 1 1 0 x x 1 0 01 1 1 1 1 0 x x 1 0 11 1 1 1 1 1 0 x 1 1 01 1 1 1 1 1 1 0 1 1 1library ieee;use ieee.std_logic_1164.all;entity encoder isport(d:in std_logic_vector(0 to 7);y:out std_logic_vector(2 downto 0));end ;architecture a of encoder isbeginprocessbeginif d(7)='0' then y<="000";elsif d(6)='0' then y<="001";elsif d(5)='0' then y<="010";elsif d(4)='0' then y<="011";elsif d(3)='0' then y<="100";elsif d(2)='0' then y<="101";elsif d(1)='0' then y<="110";elsif d(0)='0' then y<="111";end if;end process;end;--由优先编码器的真值表可知,输入信号d7的优先权最高,只要d7=0,无论其他为何值,输出都由d7决定。
学习目标:⏹会各数制之间的转换;⏹能描述优先编码器的编码特点;⏹会使用8-3线编码器;⏹能对编码器电路进行功能测试。
建议学时数:10课时生产前准备:一、小组成员分工。
二、小组成员领取面包板及电子元器件并进行登记。
生产过程实施一、优先编码器功能测试电路框图二、3位二进制优先编码器示意图1 2 3 4 5 6 7 Y 2 Y Y 3位二进制优先编码器三、8线-3线优先编码器CC4532 V DDSS1235674891011 12 13 141516Y 8 Y GSI3I 2I 1 I 0Y 0Y 1Y 2 I 4 I 5 ST CC4532I 6 I 74 5 6 7 8 9 10 11 12电路中加入编码器的作用?知道什么是二进制代码吗?优先编码器与普通编码器的区别?查找资料理清CC4532外引线引脚排列及各个引脚功能,最后列出其功能真值表。
三、开关阵列电路、锁存器与编码器的连接电路示意图及功能调试图将三个发光二极管接在CC4532的输出端,通过改变按键的状态来观察锁存器的输出状态,并以表格形式述其输出情况?知识拓展:本电路采用的是8线-3线优先编码器,查找资料,学习一下10线-4线优先编码器的使用方法?成果展示与汇报:注:汇报时间为20分钟,请注意控制内容与进度(1)内容:优先编码连接测试情况。
(2)形式:现场说明、成品展示。
检查与评价工作总结背景知识数值也称为计数方式,在生活中,人们常用的是十进制计数方式。
而在数字电路中,常用的电路通、断或电平的高、低来表示“1”、“0”,因此,采用二进制计数方式更加方便和实用。
此外,在数字电路中为了读写和操作方便,还常使用八进制和十六进制计数方式,不同数制之间可以相互转换。
十进制基数为10,0~9个数码表示,逢十进一。
例如:十进制数45.26,可表示为:(45.26)10 =210110*610*210*510*4--+++二进制基数为2,0、1两个数码表示,逢二进一。