铝电解电容器英语专业词汇
- 格式:docx
- 大小:39.58 KB
- 文档页数:6
常用电气元器件英文单词(1)元件设备三绕组变压器:three-column transformer ThrClnTrans双绕组变压器:double-column transformer DblClmnTrans电容器:Capacitor并联电容器:shunt capacitor电抗器:Reactor母线:Busbar输电线:TransmissionLine发电厂:power plant断路器:Breaker刀闸(隔离开关):Isolator分接头:tap电动机:motor(2)状态参数有功:active power无功:reactive power电流:current容量:capacity电压:voltage档位:tap position有功损耗:reactive loss无功损耗:active loss功率因数:power-factor功率:power功角:power-angle电压等级:voltage grade空载损耗:no-load loss铁损:iron loss铜损:copper loss空载电流:no-load current阻抗:impedance正序阻抗:positive sequence impedance负序阻抗:negative sequence impedance零序阻抗:zero sequence impedance电阻:resistor电抗:reactance电导:conductance电纳:susceptance无功负载:reactive load 或者QLoad有功负载: active load PLoad遥测:YC(telemetering)遥信:YX励磁电流(转子电流):magnetizing current定子:stator功角:power-angle上限:upper limit下限:lower limit并列的:apposable高压: high voltage低压:low voltage中压:middle voltage单位标准:正确错误电能:千瓦时kW.h k,h小写W大写有功功率千瓦kW k小写W大写无功功率千乏kvar k,v,a,r均小写视在功率千伏安kV A k小写V、A大写电压千伏kV k小写V大写长度千米km k,m均小写电流安培A A大写电力系统power system发电机generator励磁excitation励磁器excitor电压voltage电流current母线bus变压器transformer升压变压器step-up transformer高压侧high side输电系统power transmission system输电线transmission line固定串联电容补偿fixed series capacitor compensation 稳定stability电压稳定voltage stability功角稳定angle stability暂态稳定transient stability电厂power plant能量输送power transfer交流AC装机容量installed capacity电网power system落点drop point开关站switch station双回同杆并架double-circuit lines on the same tower 变电站transformer substation补偿度degree of compensation高抗high voltage shunt reactor无功补偿reactive power compensation故障fault调节regulation裕度magin三相故障three phase fault故障切除时间fault clearing time极限切除时间critical clearing time切机generator triping高顶值high limited value强行励磁reinforced excitation线路补偿器LDC(line drop compensation)机端generator terminal静态static (state)动态dynamic (state)单机无穷大系统one machine - infinity bus system 机端电压控制A VR电抗reactance电阻resistance功角power angle有功(功率)active power无功(功率)reactive power功率因数power factor无功电流reactive current下降特性droop characteristics斜率slope额定rating变比ratio参考值reference value电压互感器PT分接头tap下降率droop rate仿真分析simulation analysis传递函数transfer function框图block diagram受端receive-side裕度margin同步synchronization失去同步loss of synchronization阻尼damping摇摆swing保护断路器circuit breaker电阻:resistance电抗:reactance阻抗:impedance电导:conductance电纳:susceptance导纳:admittance电感:inductance电容: capacitance热工自动化常用英文缩写词ABC Automatic boiler control 锅炉自动控制AC Alternating current 交流(电)ACC Automatic combustion control 燃烧自动控制ACP Auxiliary control panel 辅助控制盘ACS Automatic control system 自动控制系统ACT actuator 执行机构A/D Analog /digital(conversion) 模/数(转换)ADP Annunciation display panel 报警显示板AEH Analog electro- 模拟式电液调节AFC Air flow control `送风控制AGC Automatic generation control 自动发电量控制AI Analog input 模拟量输入A/M Automatic/manul 自动/手动AO Analog output 模拟量输入APC Automatic plant control 电厂自动控制ASS Automatic synchronized system 自动同期系统ARP Auxiliary relay panel 辅助继电器盘ATC Automatic turbine startup or shutdown control system 汽轮机自启停系统BCS Burner control system 燃烧器控制系统BF Boiler follow 锅炉跟踪BFC Boiler fuel control 锅炉燃料控制BPS By-pass control system 旁路控制系统BTG Boiler turbinegenerator(panel) 锅炉、汽轮机、发电机(控制盘)CCR Central control room 单元(中央)控制室CHS Coal handing system 输煤控制系统CJC Cold junction compensator 冷端补偿器CPU Central processing unit 中央处理器CRT Cathode-ray tube 阴极射线管屏幕显示器D/A Digtal/analog(conversion) 数/模(转换)DAS Data acquisition system 计算机监视系统或数据采集系统DC Direct current 直流(电)DCE Data circuit-terminating equipment 数据电路终端设备DCS Distributed control system 分散控制系统DDC Direct digital control 直接数字控制DDP Distributed datd processing 分散数据处理DEH Digital electro-hydraulic control system 数字式电液控制系统DI Digital input 数字量输入DMP Damper 挡板、风门DO Digital output 数字量输出DSB Distributed switch-board 配电盘DTE Data terminal equipment 数据中端设备EEPROM Electrically-erasable programmable read only mrmory 电可擦写只读存储器E/P Electro/pneumatic(converter) 电/气(转换器)EPROM Electrically programmable read only memory 电可编程只读存储器ES Expert system 专家系统ETS Emergency trip system 紧急停机系统EWS Engineer wok station 工程师工作站FA Full arc 全周进汽FB Field bus 现场总线FCB Fast cut back (机组)快速甩负荷FDC Furnace draft control 炉膛压力控制FSS Furnace safety system 炉膛安全系统FSSS Furnace safeguard supervisory system 锅炉炉膛安全监控系统GV Governor valve 调节阀门HBP High-pressure by-pass valve 高压旁路I&C Instrumentation &control 仪表与控制INT Interlock 连锁I/O Input/output 输入/输出IDP Integrated data processing 集中数据处理KB Keyboard 键盘LBP Low-pressure by-pass valve 低压旁路LCD Liquid-crystal display 液晶显示器LED Light emitting diode 发光二极管LS Limit switch 限位开关LS Level switch 液位开关M/A Manual/automatic 手动/自动MAX Maximum 最大值MCC Motor control center 电动机控制中心MCR Maximum continuous rating 最大连续运行负荷MCS Modulating control system 模拟量控制系统MEH (BFTP)micro-electro-hydraulic control system (锅炉给水泵汽轮机)电液控制系统MFT Master fuel trip 总燃料跳闸MHC Mechanicial hydraulic control 机械液压式控制MIN Minimum 最小值MIS Management information syrtem 管理信息系统MTBF Mean time between failures 平均无故障工作时间MTTF Mean time to failure 失效(故障)前平均工作时间MTTR Mean time to repair 平均故障修复时间NC Normally Closed 常闭NO Normally open 常开OCS On-off control system 开关量控制系统OEI Optic electric interface 光电接口OFT Oil fuel trip 燃油跳闸OPC Overspeed protection CONTROL 超速保护控制OS Operator station 操作员站PA Partial arc 部分进汽PC Programmable controller 可编程控制器PCS Pulverizer control system 磨煤机控制系统PI Purse input 脉冲量输入PID Proportional integral derivative 比例-积分-微分PLC Programmable logic controller 可编程序逻辑控制器PO Pulse output 脉冲量输出RAM Random access memory 随机存取存储器RB Run back (辅机故障)快速甩负荷ROM Read only memory 只读存储器RTC Reheat steam temperature control 再热气温控制SBC Soot blower control system 吹灰控制系统SCM Single chip microcomputer 单片机SCS Sequence control system 顺序控制系统SER Sequence events recorder 事件顺序记录仪SOE Sequence of events 事件顺序记录ST Smart transmitter 智能变送器STC Superheated steam temperature control 过热气温控制TAS Turbine automatic system 汽轮机自动控制系统TBP Tuibine by-pass system 汽轮机旁路系统TCS Turbine control system 汽轮机控制系统TF Turbine follow 汽轮机跟踪TSI Turbine supervisory instrument 汽轮机监视仪表UCC Unit coordinated control 机组协调控制ULD Unit load demand(command) 机组负荷指令UPS Uninterrupted power system 不间断电源WTS Water treatment contrd system 水处理控制系统稳压二极管ZENER DIODE 肖特基二极管SCHOTTKY DIODE二极管DIODE变容二极管V ARIODE三极管TRANSISTOR电感INDUCTOR磁环EMIFIL电阻RESISTOR电容CAPACITY晶振CRYSTAL涤纶电容MYLAR CAP电解电容ELECT CAP瓷片电容CERAMIC CAP安规电容FILM CAP1.电阻固定电阻:RES半导体电阻:RESSEMT电位计;POT变电阻;RV AR可调电阻;res1.....2.电容定值无极性电容;CAP定值有极性电容;CAP半导体电容:CAPSEMI可调电容:CAPV AR3.电感:INDUCTOR4.二极管:DIODE.LIB发光二极管:LED5.三极管:NPN16.结型场效应管:JFET.lib7.MOS场效应管8.MES场效应管9.继电器:PELAY. LIB10.灯泡:LAMP11.运放:OPAMP12.数码管:DPY_7-SEG_DP (MISCELLANEOUS DEVICES.LIB)13.开关;sw_pb原理图常用库文件:Miscellaneous Devices.ddbDallas Microprocessor.ddbIntel Databooks.ddbProtel DOS Schematic Libraries.ddbPCB元件常用库:Advpcb.ddbGeneral IC.ddbMiscellaneous.ddb部分分立元件库元件名称及中英对照AND 与门ANTENNA 天线BA TTERY 直流电源BELL 铃,钟BVC 同轴电缆接插件BRIDEG 1 整流桥(二极管) BRIDEG 2 整流桥(集成块) BUFFER 缓冲器BUZZER 蜂鸣器CAP 电容CAPACITOR 电容CAPACITOR POL 有极性电容CAPV AR 可调电容CIRCUIT BREAKER 熔断丝COAX 同轴电缆CON 插口CRYSTAL 晶体整荡器DB 并行插口DIODE 二极管DIODE SCHOTTKY 稳压二极管DIODE VARACTOR 变容二极管DPY_3-SEG 3段LEDDPY_7-SEG 7段LEDDPY_7-SEG_DP 7段LED(带小数点) ELECTRO 电解电容FUSE 熔断器INDUCTOR 电感INDUCTOR IRON 带铁芯电感INDUCTOR3 可调电感JFET N N沟道场效应管JFET P P沟道场效应管LAMP 灯泡LAMP NEDN 起辉器LED 发光二极管METER 仪表MICROPHONE 麦克风MOSFET MOS管MOTOR AC 交流电机MOTOR SERVO 伺服电机NAND 与非门NOR 或非门NOT 非门NPN NPN三极管NPN-PHOTO 感光三极管OPAMP 运放OR 或门PHOTO 感光二极管PNP 三极管NPN DAR NPN三极管PNP DAR PNP三极管POT 滑线变阻器PELAY-DPDT 双刀双掷继电器RES1.2 电阻RES3.4 可变电阻RESISTOR BRIDGE ? 桥式电阻RESPACK ? 电阻SCR 晶闸管PLUG ? 插头PLUG AC FEMALE 三相交流插头SOCKET ? 插座SOURCE CURRENT 电流源SOURCE VOLTAGE 电压源SPEAKER 扬声器SW ? 开关SW-DPDY ? 双刀双掷开关SW-SPST ? 单刀单掷开关SW-PB 按钮THERMISTOR 电热调节器TRANS1 变压器TRANS2 可调变压器TRIAC ? 三端双向可控硅TRIODE ? 三极真空管V ARISTOR 变阻器ZENER ? 齐纳二极管DPY_7-SEG_DP 数码管SW-PB 开关其他元件库Protel Dos Schematic 4000 Cmos .Lib (40.系列CMOS管集成块元件库)4013 D 触发器4027 JK 触发器Protel Dos Schematic Analog Digital.Lib(模拟数字式集成块元件库)AD系列DAC系列HD系列MC系列Protel Dos Schematic Comparator.Lib(比较放大器元件库)Protel Dos Shcematic Intel.Lib(INTEL公司生产的80系列CPU集成块元件库)Protel Dos Schematic Linear.lib(线性元件库)例555Protel Dos Schemattic Memory Devices.Lib(内存存储器元件库)Protel Dos Schematic SYnertek.Lib(SY系列集成块元件库)Protes Dos Schematic Motorlla.Lib(摩托罗拉公司生产的元件库)Protes Dos Schematic NEC.lib(NEC公司生产的集成块元件库)Protes Dos Schematic Operationel Amplifers.lib(运算放大器元件库)Protes Dos Schematic TTL.Lib(晶体管集成块元件库74系列)Protel Dos Schematic V oltage Regulator.lib(电压调整集成块元件库)Protes Dos Schematic Zilog.Lib(齐格格公司生产的Z80系列CPU集成块元件库)元件属性对话框中英文对照Lib ref 元件名称Footprint 器件封装Designator 元件称号Part 器件类别或标示值Schematic Tools 主工具栏Writing Tools 连线工具栏Drawing Tools 绘图工具栏部分分立元件库元件名称及中英对照Power Objects 电源工具栏Digital Objects 数字器件工具栏Simulation Sources 模拟信号源工具栏PLD Toolbars 映象工具。
史上最全的开关电源专业英语词汇展开全文史上最全的开关电源专业英语词汇母线:Busbar输电线:TransmissionLine发电厂:power plant断路器:Breaker刀闸(隔离开关):Isolator分接头:tap电动机:motor状态参数有功:active power无功:reactive power电流:current容量:capacity电压:voltage档位:tap position有功损耗:reactive loss无功损耗:active loss功率因数:power-factor功率:power功角:power-angle电压等级:voltage grade空载损耗:no-load loss铁损:iron loss铜损:copper loss空载电流:no-load current阻抗:impedance正序阻抗:positive sequenceimpedance负序阻抗:negative sequence impedance零序阻抗:zero sequence impedance电阻:resistor电抗:reactance电导:conductance电纳:susceptance无功负载:reactive load 或者QLoad有功负载: active load PLoad遥测:YC(telemetering)遥信:YX励磁电流(转子电流):magnetizing current定子:stator功角:power-angle上限:upper limit下限:lower limit并列的:apposable高压: high voltage低压:low voltage中压:middle voltage电力系统 power system发电机 generator励磁 excitation励磁器 excitor电压 voltage电流 current母线 bus变压器 transformer升压变压器 step-up transformer高压侧 high side输电系统 power transmission system输电线 transmission line固定串联电容补偿fixed series capacitor compensation稳定 stability电压稳定 voltage stability功角稳定 angle stability暂态稳定 transient stability电厂 power plant能量输送 power transfer交流 AC装机容量 installed capacity电网 power system落点 drop point开关站 switch station双回同杆并架double-circuit lines on the same tower变电站 transformer substation补偿度 degree of compensation高抗 high voltage shunt reactor无功补偿reactive power compensation故障 fault调节 regulation裕度 magin三相故障 three phase fault故障切除时间 fault clearing time极限切除时间 critical clearing time切机 generator triping高顶值 high limited value强行励磁 reinforced excitation线路补偿器LDC(line drop compensation)机端 generator terminal静态 static (state)动态 dynamic (state)单机无穷大系统 one machine - infinity bus system机端电压控制 AVR电抗 reactance电阻 resistance功角 power angle有功(功率) active power无功(功率) reactive power功率因数 power factor无功电流 reactive current下降特性 droop characteristics斜率 slope额定 rating变比 ratio参考值 reference value电压互感器 PT分接头 tap下降率 droop rate仿真分析 simulation analysis传递函数 transfer function框图 block diagram受端 receive-side裕度 margin同步 synchronization失去同步 loss of synchronization 阻尼 damping摇摆 swing保护断路器 circuit breaker电阻:resistance电抗:reactance阻抗:impedance电导:conductance电纳:susceptance导纳:admittance电感:inductance电容: capacitance电源英语词汇(三)coupling 耦合intermittent 周期的dislocation 错位propeller 螺旋桨switchgear 配电装置dispersion 差量flange 法兰盘dielectric 介电的binder 胶合剂alignment 定位elastomer 合成橡胶corollary 必然的结果rabbet 插槽vent 通风孔subtle 敏感的gearbox 变速箱plate 电镀crucial 决定性的flexible 柔性的technics 工艺ultimate 最终的resilience 弹性vendor 自动售货机partition 分类rigid 刚性的prototype 样机diagram 特性曲线interfere 干涉compatible 兼容的simulation 模拟clutch 离合器refinement 精加工fixture 夹具torque 扭矩responsive 敏感的tensile 拉伸cushion 减震器rib 肋strength 强度packing 包装metallized 金属化stress 应力mitigate 减轻trade off 折衷方案yield 屈伸line shaft 中间轴matrix 母体inherent 固有的spindle 主轴aperture 孔径conformance 适应性axle 心轴turbulence 扰动specification 规范semipermanent 半永久性的enclosure 机壳specialization 规范化bolt 螺栓oscillation 振幅calling 职业nut 螺母anneal 退火vitalize 激发screw 螺丝polymer 聚合体revelation 揭示fastner 紧固件bind 凝固dissemination 分发rivit 铆钉mount 支架booster 推进器hub 轴套distortion 变形contractual 契约的coaxial 同心的module 模块verdict 裁决crank 曲柄slide 滑块malfunction 故障inertia 惰性medium 介质allegedly 假定active 活性的dissipation 损耗controversy 辩论lubrication 润滑assembly 总装dictate 支配graphite 石墨encapsulate 封装incumbent 义不容辞的derivative 派生物adhesive 粘合剂validation 使生效contaminate 沾染turbine 涡轮procurement 收购asperity 粗糙bearing 支撑架mortality 失败率metalworking 金属加工isostatic 均衡的shed light on 阐明viscous 粘稠的osculate 接触adversely 有害的grinding 研磨imperative 强制的consistency 连续性corrosin 侵蚀lattice 晶格fitness 适应性flush 冲洗fracture 断裂warrant 保证inhibitor 防腐剂diffusivity 扩散率turning 车工dispersant 分散剂vice versa 反之亦然ways 导轨deteriorate 降低tribological 摩擦的hybrid 混合物neutralize 平衡screen 屏蔽ID=inside diameterpulley 滑轮exclusion 隔绝OD=outside diameter hydraulic 液压的insulation 绝缘reciprocate 往复运动delicate 精密的elaborate 加工dress 精整dampen 阻尼incontrovertible 无可争议的by and large 大体上pivotal 中枢的luminous 发光的plastic 塑胶utilitarian 功利主义out of round 失园organic 有机的grass root 基层premature 过早的film 薄膜state-of-the -art 技术发展水平guard 防护罩polyester 聚酯blade 托板permeate 渗入epoxy 环氧的carrier 载体spillage 溢出polypropylene 聚丙烯chuck 卡盘erosion 浸蚀photoconductive 光敏的infeed 横向进给routine 程序miniaturization 小型化lapping 抛光postprocess 后置处理asynchronism 异步milling 洗削solder-bump 焊点synchronization 同步speciality 专业grid 栅格respond 响应stroke 行程impedance 阻抗feedback 反馈attachment 备件approximately 大约aberrance 畸变tapered 楔形的purported 据说steady 稳态的casting 铸件consumable 消费品dynamic 动态的index 换档inductance 电感transient 瞬态的stop 挡块capacitance 电容coordinate 坐标contour 轮廓resistance 电容curve 曲线machine center 加工中心audion 三极管diagram 特性曲线capitalize 投资diode 二极管history 关系曲线potentiometer 电位器transistor 晶体管gradient 斜率know-how 实践知识choker 扼流圈parabola 抛物线potted 封装的filter 滤波器root 根mechatronics 机电一体化transformer 变压器eigenvalue 特征值stem from 起源于fuse 保险丝function 函数rule-based 基于规则的annular core 磁环vector 向量consolidation 巩固radiator 散热器reciprocal 倒数energize 激发regulator 稳压器virtual value 有效值synchronous 同时发生bobbin 骨架square root 平方根socket 插孔tape 胶带cube 立方polarity 极性ceramic capacitor 瓷片电容integral 积分armature 电枢electrolytic C 电解电容differential 微分installment 分期付款self-tapping screw 自攻螺丝hisgram 直方图lobe 凸起footprint 封装ratio 比率plunge 钻入resin 松香grade down 成比例降低servo 伺服机构solderability 可焊性proportion 比例dedicated 专用的shock 机械冲击inverse ratio 反比interpolation 插补endurance 耐久性direct ratio 正比compensation 校正initial value 初始值plus 加upload 加载flashing 飞弧subtract 减overload 过载canned 千篇一律的multiply 乘lightload 轻载lot 抽签divide 除stagger 交错排列parallel 并联impedance 阻抗traverse 横向in series 串联damp 阻尼longitudinal 纵向的equivalent 等效的reactance 电抗latitudinal 横向的terminal 终端admittance 导纳restrain 约束creep 蠕动susceptance 电纳square 平方Hyperlink 超级连接spring 触发memo 备忘录wastage 损耗presentation 陈述principle 原理binder 打包planer 刨床source program 源程序Client-Server Model客户机server 服务器table 表query 查询form 表单report 报表macro 宏module 模块field 字段record 记录。
电子产品常用英文词汇一、常见电子电气类英文单词1.功率power2.电压voltage3.电流current4.频率frequency5.效率efficiency6.波形waveform7.交流alternating-current8.直流direct-current9.适配器adaptor10.转换器converter11.逆变器inverter12.充电器charger13.控制器controller14.启动器jump starter15.器件device16.元件component17.电容器capacitor18.电阻resistor19.电感inductor20.二极管diode21.稳压二极管zener22.三极管audion23.场效应管MOSFEET(Metel-Oxide-Semiconductor Field Effect Transistor)24.变压器transformer25.光藕optical coupler26.保险丝fuse27.半导体semiconductor28.瓷片电容ceramic capacitor29.电解电容electrolytic C30.电感inductance31.电容capacitance32.电阻resistance33.感性的inductive34.容性的capacitive35.阻性的resistive36.阻抗impedance37.纯正弦波pure sine wave38.修正正弦波modified sine wave39.方波square wave40.恒流源constant current source41.恒压源constant voltage source 42.纹波电流ripple current43.涌入电流inrush current44.空载电流no-load current45.电网power system46.死区时间dead time47.浮充电压float charge voltage48.正向电压forward voltage drop49.续流二极管freewheel diode50.肖特基二极管schottky51.整流桥bridge rectifier52.超快速整流器ultra fast rectifier53.检测电阻sense resistor54.振荡电阻timing resistor55.散热片thermal slug/heat sink/ radiator56.断路器breaker57.过流保护器circuit breaker58.自由运行free running59.满负载full load60.过载overload61.轻载light load62.加载upload63.静态static(state)64.动态dynamic(state)65.稳态的steady66.静电static electricity67.电源调整率line regulation68.负载调整率load regulation69.满载效率full load efficiency70.最佳效率optimum efficiency71.输出效率output efficiency72.峰值效率peak efficiency73.标称效率declared efficiency74.视在功率apparent power75.有功功率active power76.无功功率reactive power77.功率因数power-factor78.耗散功率power dissipation79.空载损耗no-load loss80.关断状态off state81.电源工作电压operating supply voltage82.电压参考voltage reference83.参考值reference value84.反馈feedback85.产品型号part number86.掉电power down87.上电power up88.电源正常power good89.欠压锁定under voltage lock out (UVLO)90.上拉pull up91.下拉pull down 92.上升沿rising edge93.下降沿falling edge94.上限upper limit95.下限lower limit96.极性polarity97.藕合coupling98.故障malfunction99.原理图schematic diagram 100.封装footprint101.设计流程design cycle 102.设计余量design margin二、常用电源类英文缩写SPS:switching power supplyPWM:pulse width modulation脉冲宽度调制ZCS:zero current switchingZVT:Zero Voltage TransitionPFC:Power Factor CorrectionRMS:Root mean square(均方根)THD:Total Harmonic Distortion(总谐波失真)OVP:Over Voltage ProtectionOCP:Over Current ProtectionOTP:Over Temperature ProtectionMCU:Micro Controller unitTVS:Transient voltage suppressorPCB:Printed circuit boardSMT:surface mounting technologyECR:Engineering Change RequestECN:Engineering Change noticeFMEA:Failure Mode Efficiency AnalysisIC:integrated circuitIE:Industrial EngineeringIT:information technologyAQL:Acceptable Quality LevelQA:Quality AssuranceQC:Qualty ControlIQC:Incoming Quality controlIPQC:In-process Quality control三绕组变压器:three-column transformer ThrClnTrans双绕组变压器:double-column transformer DblClmnTrans电容器:Capacitor并联电容器:shunt capacitor 电抗器:Reactor母线:Busbar 输电线:Transmission Line 发电厂:power plant断路器:Breaker刀闸(隔离开关):Isolator分接头:tap电动机:motor2、状态参数有功:active power无功:reactive power 电流:current容量:capacity电压:voltage档位:tap position无功损耗:reactive loss 有功损耗:active loss功率因数:power-factor功率:power功角:power-angle电压等级:voltage grade 空载损耗:no-load loss铁损:iron loss铜损:copper loss空载电流:no-load current 阻抗:impedance正序阻抗:positive sequence impedance 负序阻抗:negative sequence impedance 零序阻抗:zero sequence impedance电阻:resistor电抗:reactance电导:conductance电纳:susceptance无功负载:reactive load或者QLoad有功负载:active load Load励磁电流(转子电流):magnetizing current 定子:stator上限:upper limit下限:lower limit并列的:apposable 电力系统power system发电机generator励磁excitation励磁器excitor母线bus变压器transformer升压变压器step-up transformer高压侧high side输电系统power transmission system 输电线transmission line固定串联电容补偿fixed series capacitor compensation稳定stability电压稳定voltage stability 功角稳定angle stability暂态稳定transient stability 电厂power plant能量输送power transfer 交流AC装机容量installed capacity 电网power system落点drop point开关站switch station双回同杆并架double-circuit lines on the same tower变电站transformer substation补偿度degree of compensation高抗high voltage shunt reactor无功补偿reactive power compensation 故障fault调节regulation裕度magin三相故障three phase fault故障切除时间fault clearing time 极限切除时间critical clearing time切机generator triping高顶值high limited value强行励磁reinforced excitation线路补偿器LDC(line drop compensation)机端generator terminal静态static(state)动态dynamic(state)单机无穷大系统one machine-infinity bus system机端电压控制AVR 电抗reactance 电阻resistance有功(功率)active power无功(功率)reactive power 功率因数power factor无功电流reactive current下降特性droop characteristics 斜率slope额定rating变比ratio参考值reference value分接头tap仿真分析simulation analysis 传递函数transfer function框图block diagram受端receive-side 同步synchronization失去同步loss of synchronization 阻尼damping摇摆swing保护断路器circuit breaker电阻:resistance电抗:reactance阻抗:impedance电导:conductance电纳:susceptance导纳:admittance电感:inductance电容:capacitanceComponent零件Bobbin线轴tape胶带Bridge桥式整流tapping screw自攻螺丝Cable tie束带terminal端子Capacitor电容transformer(XFMR)变压器Case外壳transistor电晶体Ceramic capacitor陶瓷电容tube套管Chip capacitor芯片电容varistor突波吸收器Chip resistor芯片电阻VR(variable resistance)可变电阻Choke线圈washer垫片Connector连接器zener齐纳Control board基板Diode(dio)二极管process(制程)Epoxy脂assembly组立Fan风扇burn-in(B/I)崩应FET埸效晶体管component零件Filter滤波器flux助焊剂Fuse clip保险丝座function test功能测试Fuse holder保险丝座gravity比重Glue胶Hi-pot test耐高压测试Heatsink散热片insertion插件Fuse保险丝ORT可靠度测试IC集成电路packing包装Inductor电感solder machine锡炉Insulator绝缘片station站别Jumper wire跳线supplier/vender厂商Label标签temperature温度Lock washer接地星形垫片torque扭距NTC热敏电阻touch-up(T/U)补焊Nut螺丝帽Output cable输出线Output wire输出线PCB电路板Photo couple光藕合二极管Regulator稳压器Resistor电阻Rivet柳钉Screw螺丝Socket插座Solder bar锡棒Spring washer弹簧垫片Stand-off支柱Star washer星形垫片Switch开关CRT(cathode-ray tube)示波器Anode阳(正,板)极PROCESS(制程)Resistor(res)电阻pre-forming加工成型VR(variable)可变电阻component零件Chip resistor芯片电阻Capacitor(cap)电容insertion插件Ceramic capacitor陶磁电容solder machine锡炉Transformer(X’FNR)变压器assembly组立Fuse holder保险丝座Bridge diode桥式整流Transistor电晶体input(I/P)输入output(O/P)输出regulation调整pecification规格Regulation稳压器ripple涟波noise噪声Control board小基板noise buzz无音protection保护OVP过电压保护OCP过电流保护OPP过功率保护no output(NOP)无输出Tapping screw自动螺丝broken/blow out(BRO)烧机Nut螺帽short短路open开路Spring washer弹簧垫片voltage电压Star washer星形垫片Lock washer接地星形垫片frequency频率Output wire输出线load负载Output cable输出线full load满载Cable tie束带low load轻载Connector连接器damage损坏Rivet铆钉oscilloscope示波器Terminal端子test测试Stand-off支柱adjust调整Heatsink散热片inspection检验Insulator绝缘体hi-pot test高压测试Tape胶带grounding接地Tube套管Label caution标签(警告标签) Jumper wire跳线Solder bar锡棒。
半导体术语表第1页共68页半导体术语表第2页共68页amorphous 非晶的,无定型an alog 模拟信号angstrom 埃anion 阴离子an isotropic etch profile 各向异性刻蚀剖面ann eal 退火an tim ony(sb) 锑an tirelective coat in g(ARC) 抗反射涂层APCVD 常压化学气向淀积applicatio n specific IC(ASIC) 专用集成电路aqueous soluti on 水溶液area array 面阵歹Uargon (Ar) n.[化]氩arse ni c(As) 砷arsin e(AsH3) 砷化氢,砷烷ashi ng 灰化,去胶aspect ratio 深宽比,高宽比aspect ratio depe ndent etchi ng(ARDE) 与刻蚀相关的深宽比asphyxia nt 窒息剂assay n umber 检定数atmospheric adj. 大气的atmospheric pressure 大气压atmospheric pressure CVD(APCVD) 常压化学气向淀半导体术语表第3页共68页backi ng film 背膜baffle vt. 困惑,阻碍,为难(挡片)baffle assembly n. 集合,装配,集会,集结,汇编(挡片块)ball grid array(BGA) 球栅阵列ballroom layout barrel reactor舞厅式布局,超净间的布局圆桶型反应室barrier metal 阻挡层金属barrier voltage 势垒电压base 基极,基区batch 批半导体术语表第4页共68页bay and chase layout 生产区和技术夹层区beam blow-up 离子束膨胀beam curre nt 束流beam decelerati on 束流减速beam energy 离子束能量beol (生产线)后端工序best focus 最佳聚焦BGA 球栅阵列Biasi ng 电压拉偏BICMOS 双极CMOS半导体术语表第5页共68页半导体术语表 第6页共68页突破步骤,起始的干法刻蚀步骤亮场检查涮洗buffered oxide etch(BOE) bulk chemical distributi on bulk gases 大批气体 bulkhead equipme nt layout bumped chip 凸点式芯片buried layer 埋层 burn-box 燃烧室(或盒) bur n-i n 老化 CA 化学放大(胶) can tilever n.[建]悬臂 can tilever paddle 悬臂桨 cap oxide 掩蔽氧化层 capacita nce电容capacita nce-voltage test(C-Vtest) 电容-电压测试capacitive coupled plasma 电容偶合等离子体capacitor 电容器breakthrough step brightfield detection brush scrubb ing bubbler 带鼓泡槽氧化层腐蚀缓冲液 批量化学材料配送 穿壁式设备布局半导体术语表第7页共68页caro ' s acid 3 号液carrier 载流子carrier-depleti on region 载流子耗尽层carrier gas 携带气体cassette (承)片架cati on 阳离子caustic 腐蚀性的cavitation 超声波能CD 关键尺寸CD-SEM 线宽扫描电镜Celsius adj.摄氏的center of focus(COF) 焦点焦平面cen ter slow 中心慢速central process ing uni t(CPU) 中央处理器ceramic substrate 陶瓷圭寸装CERDIP 陶瓷双列直插封装Cha nnel 沟道cha nn el le ngth 沟道长度cha nn eli ng 沟道效应charge carrier 载流子chase技术夹层chelati ng agent 螯合齐ijchemical amplificatio n(CA) 化学放大胶chemical etch mecha半导体术语表第8页共68页nism 化学刻蚀机理chemical mecha ni cal pla narizati on (CMP) 化学机械平坦化chemical soluti on 化学溶液chemical vapor depositio n(CVD) 化学气相淀积chip 芯片chip on board(COB) 板上芯片chip scale package(CSP) 芯片尺寸圭寸装circuit geometries 电路几何尺寸class number 净化级别clea nroom 净化间clea nroom protocol 净化间操作规程Clearfield mask 亮场掩膜板Cluster tool 多腔集成设备CMOS 互补金属氧化物半导体CMP 化学机械平坦化Coater/developer track 涂胶/显影轨道Cobalt silicide 钻硅化合物coefficie nt n.[数]系数Coefficie nt of thermal expa nsio n(CTE)热涨系数半导体术语表第9页共68页Cohere nce probe microscope 相干探测显微镜Cohere nt light 相干光coil v. 盘绕,卷Cold wall 冷壁Collector 集电极Collimated light 平行光Collimated sputteri ng 准直溅射Compensate v.偿还,补偿,付报酬Compo und semic on ductor 化合物半导体Con ce ntrati on 浓度Conden sation 浓缩Con ductor 导体constantly adv.不变地,经常地,坚持不懈地Co nfocal microscope 共聚焦显微镜Con formal step coverage 共型台阶覆盖Con tact 接触(孔)Con tact alig nment 接触式对准(光刻)Con tact an gle meter 接触角度仪Con tam in ati on 沾污、污染conti boat 连柱舟半导体术语表第10页共68页con ticaster [冶]连铸机Contin uous spray develop 连续喷雾显影Con tour maps 包络图、等位图、等值图Co ntrast 对比度、反差contribution n. 捐献,贡献,投稿Conventional-line photoresist 常规I 线光刻胶Cook' s theory库克理论Copper CVD 铜CVDCopper in terc onnect 铜互连Cost of own ership(COO) 业主总成本Coval ent bond 共价键Critical dime nsio n 关键尺寸Cryoge nic aerosol clea ning 冷凝浮质清洗Cryoge nic pump(cryopump) 冷凝泵Crystal 晶体Crystal activatio n 晶体激活Crystal defect 晶体缺陷Crystal growth 晶体生长Crystal lattice 晶格Crystal orie ntati on 晶向CTE 热涨系数半导体术语表第11页共68页Curre nt-drive n curre nt amplifier 电流驱动电流放大器CVD 化学气相淀积Cycle time 周期CZ crystal puller CZ 拉单晶设备Czochralski(CZ) method 切克劳斯基法Ddamasce ne 大马士革工艺darkfiled detectio n 暗场检测darkfiled mask 暗场掩膜版DC bias 直流偏压decompose v. 分解,(使)腐烂deep UV(DUV) 深紫外光default n.默认(值),缺省(值),食言,不履行责任,[律]缺席v.疏怠职责,缺席,拖欠,默认defects den sity 缺陷密度defect 缺陷deglaze 漂氧化层degree of pla narity(DP) 平整度dehydrati on bake 去湿烘培,脱水烘培den sity 密度deple nti on mode 耗尽型半导体术语表第12页共68页degree of focus 焦深deposit n.堆积物,沉淀物,存款,押金,保证金,存放物vt.存放,堆积vi.沉淀depositi on 淀积deposited oxide layer 淀积氧化层depth of focus 焦深descum 扫底膜design for test(DFT) 可测试设计desorpt ion 解吸附作用develop in spect 显影检查developme nt 显影developer 显影液deviati on n. 背离device isolati on 器件隔离device tech no logy 器件工艺DI water 去离子水Diameter n.直径diameter grinding 磨边diborane ( B2H6 )乙硼烷dichlorosila ne(H2SiCL2) 二氯甲硅烷die 芯片die array 芯片阵列die attach 粘片die-by-die alig nme nt 逐个芯片对准dielectric 介质dielectric con sta nt 介电常数die matrix 芯片阵列die separati on 分片diffraction 衍射半导体术语表第13页共68页diffractio n-limited optics 限制衍射镜片diffusion 扩散diffusi on con trolled 受控扩散digital/analog 数字/模拟digital circuitdilue ntdirect chip attach( DCA)direct ion ality discrete dishi ng dislocati on dissoluti on rate dissolution rate mon itor(DRM) 溶解率监测DNQ- novolak 重氮柰醌一酚醛树脂Donor 施主dopa nt profile 掺杂刨面) doped region 掺杂区dop ing 掺杂dose mo nitor 剂量检测仪dose,Q 剂量dow nstream reactor 顺流法反应drain 漏drive-in 推进dry etch 干法刻蚀dry mecha ni cal pump 干式机械泵dry oxidati on 干法氧化dummy n.哑巴,傀儡,假人,假货adj. 假的,虚虚拟的,构的n.[计]哑元dynamic adj. 动力的,动力学的,动态的E半导体术语表第14页共68页econ omies of scale 规模经济edge bead removal 边缘去胶edge die 边缘芯片edge exclusi on 无效边缘区域electrically erasable PROM 电可擦除EPROMelectrode 电极electromigrati on 电迁徙electro n beam lithography 电子束光刻electro n cyclotro n reso nance 电子共振回旋加速器electro n shower 电子簇射,电子喷淋electro n stopp ing 电子阻止electro nic wafer map 硅片上电性能分布图electroplat ing 电镀electropolishi ng 电解拋光electrostatic chuck 静电吸盘electrostatic discharge(ESD) 静电放电ellipsometry 椭圆偏振仪,椭偏仪emitter 发射极en dpo int detecti on 终点检测engin eeri ng n.工程(学) electrostatic discharge(EDX) 能量弥散谱仪enhan ceme nt mode 增强型epi 夕卜延epitaxial layer 夕卜延层epoxy un derfill 环氧树脂填充不足erasable PROM 可擦除可编程只读存储器半导体术语表第15页共68页erosion 腐蚀,浸蚀establish vt.建立,设立,安置,使定居,使人民接受,确定v.建立etch 刻蚀etch bias 刻蚀涨缩量etch profile 刻蚀刨面etch rate 刻蚀速率etch residue 刻蚀残渣etch un iformity 刻蚀均匀性etcha nt 刻蚀剂etchback pla narizati on 返刻平坦化eutectic attach 共晶焊接eutectic temperature 共晶温度evaporati on 蒸发even adj.平的,平滑的,偶数的,一致的,平静的, 恰好的,平均的,连贯的adv.[加强语气]甚至(…也), 连…都,即使,恰好,正当vt.使平坦,使相等vi. 变平,相等n.偶数,偶校验exceed vt. 超越,胜过vi.超过其他excimer laser 准分之激光exposal n. 曝光,显露exposure 曝光exposure dose 曝光量半导体术语表第16页共68页extracti on electrode 吸极extreme UV 极紫外线extri nsic silic on 掺杂硅FFables 无制造厂公司fabrication 制造facilities 设施factor n.因素,要素,因数,代理人fast ramp furn aces 快速升降温炉fault model 失效模式FCC diam ond 面心立方金刚石feature size 特征尺寸FEOL 前工序Fick ' s laws FICK 定律field-effect tran sistor 场效应晶体管field oxide 场氧化field-by-field alig nme nt 逐场对准field-programmable PROM 现场可编程只读存储器film 膜film stress 膜应力final assembly and packag ing 最终装配和圭寸装final test 终测first in terlayer dielectric(ILD-1) 第一层层间介质fixed oxide charge 固定氧化物电荷半导体术语表第17页共68页flats 定位边flip chip 倒装芯片float zone 区熔法fluorosilicate glass(FSG) 氟化玻璃focal le ngth 焦距focal pla ne 焦平面focal point 焦点focus 聚焦focus ion beam(FIB) 聚焦离子束footpri nt 占地面积formula n.公式,规则,客套语forward bias 正偏压four-po int probe 四探针frenkel defect Frenkel 缺陷fron t-ope ning uni fied pod(FOUP)前开口盒fun ctio nal test 功能测试furn ace flat zone 恒温区Gg-line G 线gallium(Ga)镓gallium arse nide(GaAs) 砷化镓gap fill 间隙填充gas 气体gas cabinet 气柜gas man ifold 气瓶集装gas phase n ucleati on 气相成核gas purge 气体冲洗gas throughput 气体产量半导体术语表第18页共68页gate 栅gate oxide 栅氧化硅gate oxide in tegrity 栅氧完整性germa ni um(Ge) 错getter 俘获glass 玻璃glazi ng 光滑表面global alig nment 全局对准global pla narizatio n 全局平坦化glow discharge 起辉放电gray area 灰区,技术夹层gross defect 层错grove n. 小树林grow n oxide layer 热氧化生长氧化层HHaloge n 卤素hardbake 坚膜hardware n.五金器具,(电脑的)硬件,(电子仪器的)部件HEPA filter 高效过滤器hermetic seali ng 密圭寸heteroepitaxy 异质外延heteroge neous reacti on 异质反应hexamethyldisilaza ne(HMDS)六甲基二硅氨烷high-de nsity plasma(HDPCVD) 高密度等离子体化学气相淀积high-de nsity plasma etch 高密度等离子刻蚀high-pressure oxidati on 高压氧化high-temperature diffusi on furn ace高温扩散炉high vacuum 高真空high vacuum pumps 高真空泵半导体术语表第19页共68页半导体术语表 第20页共68页IC reliability 集成电路可靠性 Iddq test ing 静态漏电流测试 image resolution 图象清晰度 图象分解力 impla nt v.灌输(注入) impurity 杂质 in creme nt n. 增加,增量 initial adj.最初的,词首的,初始的 n.词首大写 字母in situ measurem ents 在线测量 in dex of refraction 折射率 indium 铟in ductively coupled plasma(ICP) 电感耦合等离子体 in ert gas 惰性气体in frared in terfere nce 红外干涉 in got 锭ink mark 墨水标识在线参数测试 输入/输出管脚 学院,协会 vt.创立,开始,制 定,开始(调查),提起(诉讼) in sulator 绝缘体in-li ne parametric test in put/output(I/O)pin institute n. 学会,半导体术语表第21页共68页in tegrated measurem ent tool 集成电路测量仪interval n.间隔,距离,幕间休息n.时间间隔interconnect 互连in terco nn ect delay 互连连线延迟in terface-trapped charge 界面陷阱电荷in terferometer 干涉仪in terlayer dielectric(ILD) 层间介质in terstitial 间隙(原子)in tri nsic silic on 本征硅in voke v. 调用ion 离子ion analyzer 离子分析仪ion beam milli ng or ion beam etch in g(IBE) 离子铣或离子束刻蚀ion impla ntati on 离子注入ion impla ntati on damage 离子注入损伤ion impla ntati on dop ing 离子注入掺杂ion impla nter 离子注入机ion projectio n lithography(IPL) 离子投影机ioni zati on 离子化ion ized metal plasma PVD 离子化金属等离子IPA PVD半导体术语表第22页共68页vapor dry 异丙醇气相干燥isolati on regions 隔离区isotropic etch profile 各向同性刻蚀刨面JJEFT结型场效应管jun ctio n(p n) PN 结jun cti on depth 结深jun cti on spik ing 结尖刺KKelvi n 绝对温度killer defect 致命缺陷ki netically con trolled react ion 功能控制效应Llam inar air flow 层状空气流,层流式lapping 拋光latchup闩锁效应lateral diffusi on 横向扩散law of reflecti on 反射定律LDD轻掺杂漏Leadframe 引线框架leakage cuttent 漏电流len透镜lens compact ion 透镜收缩light 光light in te nsity 光强light scatteri ng 光散射lightly doped drai n(LDD) 轻掺杂漏半导体术语表第23页共68页lin ear 线性linear accelerator 线性加速器linear stage 线宽阶段,线性区lin ewidth 线宽liquid 液体lithography 光刻loaded brush 沾污的毛刷loaded effect负载效应loadlock 真空锁local in terco nn ect(LI) 局部互连local pla narizati on 局部平坦化local oxidation of silico n(LOCOS) 硅局部氧化隔离法logic逻辑lot批low-pressure chemical vapor deposition (LPCVD)彳氐压化学气相淀积LSI大规模集成电路Mmagnetic CZ ( MCZ )磁性切克劳斯基晶体生长法magnetically enhanced RIE(MERIE)磁增强反应离子刻蚀magnetron sputteri ng 磁控溅射Magnification n. 扩大,放大倍率magnificent adj. 华丽的,高尚的,宏伟的majority carrier 多子make-up loop 补偿循环mask掩膜版n.面具,掩饰,石膏面像vt.戴面具,半导体术语表第24页共68页掩饰,使模糊vi.化装,戴面具,掩饰,参加化装舞会mask-programmable gate array 掩膜可编程门阵歹Umass flow con troller(MFC) 质量流量计mass spectrometer 质谱仪mass-tra nsport limited reacti on质量传输限制效应mathematical adj.数学的,精确的mea n free path(MFP) 平均自由程medium vacuum 中真空半导体术语表第25页共68页megasonic cleaning 超声清洗melt熔融membra ne con tactor 薄膜接触器,隔膜接触器membra ne filter 薄膜过滤器,隔膜过滤器merchant n. 商人,批发商,贸易商,店主商业的,商人的mercury arc lamp 汞灯MESFET用在砷化镓结型场效应晶体管中的金属栅metaladj. contact 金属接触孔metal impurities 金属杂质metalstack 复合金属,金属堆叠metallizati on 金属化metalorga nic CVD 金属有机化学气相淀积metrology 度量衡学microchip 微芯片microdefect 微缺陷microlithography 微光刻microloadi ng 微负载,与刻蚀相关的深宽比micron 微米microprocessor n.[计]微处理器microprocessor unit 微处理器microrough ness 微粗糙度Miller in dices 密勒指数minienvironment 微环境mi ni mum geometry 最小尺寸minority carrier 少子mix and match 混合与匹配mobile ion ic co ntami nan ts(MIC)可动离子沾污mobile oxide charge 可动氧化层电荷module n.模数,模块,登月舱,指令舱modify vt. 更改,修改v.修改molecular beam epitaxy (MBE)分子束外延molecular flow 分子流monitor wafer(test wafer) 陪片,测试片,半导体术语表第26页共68页样片mono crystal 单晶mono lithic device 单片器件Moore's law 摩尔定律MOS 金属氧化物半导体MOSFET 金属氧化物半导体场效应管motor currea nt en dpoi nt 电机电流终点检测(法)MSI中规模集成电路Multiplier n.增加者,繁殖者,乘数,增效器,乘法器multichip module(MCM) 多芯片模式multile nel metallization 多重金属化Murphy's model 墨菲模型Nnan ometer( nm) 纳米native oxide 自然氧化层n-channel MOSFET n 沟道MOSFET negati ne resist 负性光刻胶negative n.否定,负数,底片adj.否定的,消极的,负的,阴性的vt.否定,拒绝(接受)ne gati ne resist developm ent 负性光刻胶显影neutral beam trap 中性束陷阱ne xt-g ene rati on lithography 下一代光刻技术n itric acid(HNO3) 硝酸nitroge n(N2) 氮气nitrogen trifluoride(NF3) 三氟化氮半导体术语表第27页共68页nitrous oxide (N2O) 一氧化二氮、笑气nMOS n沟道MOS场效应晶体管non critical layer 非关键层non volatile memory 非挥发性存储器n ormality 归一化notch 定位槽novolak 苯酚甲醛聚树脂材料npn npn型(三极管) n-type silicon n 型硅nu clear stopp ing 离子终止nucleati on 成核现象,晶核形成nu clei coalesce nce 核合并numerical aperture(NA) 数值孔径n-well n 阱Oobjective (显微镜的)物镜off-axis illumi natio n( OAI) 偏轴式曝光,离轴式曝光ohmic con tact 欧姆接触op amp 运算放大器optical in terferometry en dpoi nt 光学干涉法终点检测optical lithography 光学光刻optical microscope(light microscope) 光学显微镜optical proximity correctio n(O PC) 光学临近修正半导体术语表第28页共68页optical pyrometer 光学高温计optics 光学organic compo und 有机化合物半导体术语表第29页共68页out-diffusion 反扩散outgassi ng 除气作用overdrive 过压力overetch step 过刻蚀overflow rin ser 溢流清洗overlay accuracy 套准精度overlay budget 套准偏差overlay registrati on 套刻对准oxidati on 氧化oxidati on-in duced stack ing faults(OISF) 缺陷,氧化诱生堆垛层错oxide 氧化物、氧化层、氧化膜oxidezer 氧化剂oxide-trapped charge 氧化层陷阱电荷ozo ne(O3) 臭氧Ppackage 封装管壳pad con diti oning 垫修整pad oxide 垫氧化膜paddle 悬臂n.短桨,划桨,明轮翼水,涉水vt.用桨划,搅,拌parabolic stage 拋物线阶段parallel-plate(pla nar)reactor 平板反应parallel testi ng 并行测试parameter 参数parametric test 参数测试parasitic 寄生parasitic capacita nee 寄生电容parasitic resista nce 寄生电阻parasitic tran sistor 寄生电阻器partial pressure 分压particle den sity 颗粒密度氧化诱生层积vi.划桨,戏半导体术语表第30页共68页particle per wafer per pass(PWP)每步每片上的颗粒数passivati on 钝化passivati on layer 令屯化层passive comp onents 无源元件pattern sen sitivity 图形灵敏性patterned etchi ng 图形刻蚀pattern wafer 带图形硅片patterni ng 图形转移,图形成型,刻印pc board 印刷电路版p-channel MOSFET p 沟道MOSFETPCM 工艺控制监测PEB 曝光后烘焙PECVD 等离子体增强化学气相淀积PEL 允许曝露极限值pellicle 贴膜pen tava lent 五价元素perform vt. 履行,执行,表演,演出v. performing完成任务adj. 表演的,履行的perimete array 周边阵列式(圭寸装) pH scale pH 值phase-shift mask(PSM) 相移掩膜技术phosphi ne(PH3)磷化氢phosphoric acid(H3PO4) 磷酸phosphorus(P) 磷半导体术语表第31页共68页phosphorus oxychloride(POCL3) 三氯氧磷phosphosilicate glass(PSG) 磷硅玻璃photoacid gen erator(PAG) 光酸产生剂photoacoustics 光声的photoactive compou nd(PAC) 感光化合物photography n.摄影,摄影术光刻photolithography 光刻(技术)photomask 光掩膜photoresist 光刻胶photoresist stripp ing 去胶、光刻胶去除physical etch mecha nism 物理刻蚀机理physical vapor depositio n(PVD) 物理气相淀积pigtail 引出头pin grid array(PGA) 针栅阵列式(封装) pin hole 针孑L pira nha 3 号液pitch 间距pla nar 平面pla nar capacitor平面电容pla nar process 平面工艺pla narizati on 平坦化plasma 等离子体n.[解]血浆,乳浆,[物]等离子体,等离子区plasma-based dry clea ning 等离子体干法清洗plasma electro n flood 等离子电子流plasma enhancedCVD(PECVD) 等离子体增强CVD半导体术语表第32页共68页plasma-in duced damage 等离子体诱导损伤plasma pot en tial distributi on 等离子体势分布plastic dual in-li ne package(DIP) 双列直插塑料圭寸装plastic leaded chip carrier(PLCC) 塑料电极芯片载体plastic packag ing 塑料圭寸装plug 塞,填充vt. 埼塞,插上,插栓n塞子,插头, 插销pMOS(p-channel) p 沟道MOSpn junction diode pn 结型二极管pnp pnp型三极管point defect 点缺陷Poisso n's model 泊松模型polarizati on 极化,偏振polarized light 极化光,偏振光polish 拋光polish rate 拋光速率polished wafer edge(edge grind) 倒角polishi ng loop 磨拋循环polishi ng pad 拋光(衬)垫polycide 多晶硅化物polycrystal 多晶半导体术语表第33页共68页polysilic on 多晶硅polysilic on gate 多晶硅栅portion n. —部分,一分positive lithography 正性光刻positive resist 正性光刻胶positive resist developme nt 正性光刻胶显影post-develop in specti on 显影后检查post-exposure bake(PEB) 曝光后烘焙ppb 十亿分之几ppm 百万分之几ppt 万亿分之几preamorphizati on 预非晶化precursor 先驱物predepositi on 预淀积premetaldielectric(PMD) 金属前介质preston equation Preston 方程primary orie ntati on flat 主定位边print bias光刻涨缩量prin ted circuit boade(PCB) 印刷电路板probe探针probe card 探针卡prober 探针台process 工艺process chamber 工艺腔,工艺反应室process chemical 工艺化学process control mon itor(PCM) 工艺控制监测(图形) process latitude 工艺水平,工艺能力process recipe 工艺菜单programmable array logic(PLA) 可编程阵列逻辑programmable logic device 可编程逻辑器件半导体术语表第34页共68页programmable read-only memory 可编程只读存储器projected range 投影射程prompt n.提示,付款期限vt.提示,鼓动,促使,(给演员)提白adj.敏捷的,迅速的,即时的adv. 准时地n. DOS 命令:改变DOS系统提示符的风格proportion n.比例,均衡,面积,部分vt.使成比例,使均衡,分摊proportional adj. 比例的,成比例的,相称的,均衡的proportio nal ba nd 比例区,比例带,比例尺范围proximity alig ner 接近式光刻机p-type silicon P 型硅puddle develop搅拌式显影pump speed 抽气速率pun chthrough 穿通purge (冲气)清洗purge cycle (冲气抽气)清洗循环PVD物理气相淀积p-well P 阱pyroge nic steam 热流pyrogen 热原(质)pyrolytic 热解pyrophoric 自燃的Qquad flatpack(QFP)方型管壳封装quadrupole mass an alyzer(QMA)四极质量分析仪quality measure 质量测量quarz石英quarz tube 石英管半导体术语表第35页共68页quarz wafer boat 石英舟queue time排队时间R radiation damage 辐射损伤radical 激发ran dom access memory(RAM) 随机存储器range射程rapid thremal ann eal(RTA) 快速热退火rapid thermal processor(RTP) 快速热处理RCA clea n RCA 清洗reactio n rate limited 反应速率限制reactive ion etch(RIE) 反应离子刻蚀reactivity 反应性reactor 反应室,反应腔read-o nlymemory(ROM) 只读存储器recomb in ati on 复合redistribut ion 再分布reflection spectroscopy 反射光谱仪reflective no tchi ng 反射开槽reflow 回流refraction 折射refractory metal 难融金属regeneration 再生regene rati on 套准精度relative in dex of refraction,n removal n. 移动,免职,切除repeat n.重复,反复vt.重做,复述,向他人转述,复制,使再现vi.重复,留有味道representation n. 表示法,表现,陈述,请求,扮演,画像,继承,代表reset v.重新安排residual gas analyzer(RGA) 残余气体分析器resist光刻胶半导体术语表第36页共68页resist developme nt 光刻胶显影resista nee 电阻resistivity 电阻率resolution 分辨率reticle掩膜版retrograde well 倒掺杂阱reverse bias 反偏reverse osmosis(RO) 反向渗透RF射频RF sputteri ng 射频溅射rinse v.嗽口,(用清水)刷,冲洗掉,漂净n.清洗嗽洗,漂洗,漂清,冲洗RO反向渗透Roots blower罗茨(机械增压)泵roughi ng pump 低真空泵,机械泵RTA快速热退火RTP快速热处理Ssatisfy vt.满足,使满意,说服,使相信v.满意,确保Scali ng按比例缩小SCALPEL具有角度限制分散投影电子束光刻Scanner扫描仪sea nning electro n microscope(SEM) 扫描电子显微镜sca nning projecti on alig ner 扫描投影光刻机schottky diode 肖特基二极管screen oxide layer 掩蔽氧化层半导体术语表第37页共68页scribe line 划片道scribe line mon itor(SLM) 划片线监测scumming 底膜sec ondary electro n 二次电子半导体术语表第38页共68页sec on dary electro n flood 二次电子流sec on dary ion mass spectrometry(SIMS)二次离子质谱(法)seed ' s model SEED模型selective etching 选择性刻蚀selective oxidati on 选择性氧化selectivity 选择性semic on ductor grade silic on 半导体极硅semic on ductor 半导体sensitivity 灵敏度shallow trench isolatio n(STI) 浅沟槽隔离sheet resistance,RS 方块电阻sheet resistivity, 方块电阻率shot size胶(点)尺寸shri nking 缩小SI units 公制Sidewall spacer 侧墙Silane(siH4)硅烷Silicide硅化合物silicon 硅silicon dioxide(SIO2)二氧化硅silicon n itride(SI3N4)氮化硅silic on on sapphire 蓝宝石伤硅silicon on in sulator(SOI) 绝缘体上硅silicon tetrachloride(SIC4) 碳化硅silicon tetrafluoride(SIF4)四氟化硅silicon tetrachloride(SICL4)四氯化硅sin gle crystal silic on 单晶硅silylation 硅烷化(作用)SIMOX 由注入氧隔离,一种SOI材料si ngle crystal 单晶slip滑移slurry 磨料半导体术语表第39页共68页SMIF标准机械接口Sodium hydroxide(NaOH)氢氧化钠soft bake 前烘solid固体solve nt 溶剂SOS蓝宝石上硅Source 源source drain impla nts 源漏注入spacer n.取间隔的装置,逆电流器spatial cohere nee 空间相干spatial sig nature an alysis 空间信号分析specialty gase 特种气体species 种类specific gravity 上匕重specific heat 比热speckle 斑点spectroscipic ellipsometry 椭圆偏振仪sp in coati ng 光刻胶旋涂spin dryer 旋转式甩干桶spin-on-dielectric ( SOD)旋转介质法spin-on-glass ( SOG)旋转玻璃法spray clea ning 喷雾清洗spray rin ser 喷雾清洗槽spreadi ng resista nce probe 扩散电阻探测sputter n.喷溅声,劈啪声,急语,咕哝vi.唾沫飞溅,发劈啪声,急忙地讲vt.喷出,飞溅出,气急败坏地说sputteri ng 溅射sputter etch 溅射刻蚀sputtered alum inum 溅射铝半导体术语表第40页共68页sputteri ng yield 溅射产额SSI小规模集成电路stacki ng fault层积缺陷,堆垛层错sta ndard clea n 1(SC-1) 1 号清洗液sta ndard clea n 2(SC-2) 2 号清洗液sta ndard mecha nical in terface(SMIF) 机械标准接口standing wave 驻波static RAM 静态存储器statistical process control ( SPC)统计过程控制step coverage 台阶覆盖step height台阶高度step-a nd-repeat alig ner 分步重复光刻机step-and-scan system 步进扫描光刻机stepper步进光刻机steppi ng motor driver 步进电机驱动器电路stepper步进光刻机stoichiometry 化学计量(配比) staggle投射标准偏差stress应力striati on 条纹strip vt.剥,剥去n. 条,带strippi ng 去胶structure 结构subatmospheric CVD 亚大气压化学气相淀积半导体术语表第41页共68页submicro n 亚微米sub-quarter micron 亚0.25 微米substrate 衬底sublimati on 升华substituti onal atom 替位原子subtract v.(〜from)减去, 减subwaverle ngth lithography 亚波长光刻sulfur hexafluoride(SF6)六氟化硫sulfuric acid (H2SO4 )硫酸surface profiler 表面形貌surface tension 表面张力susceptor 基座Ttarget chamber 靶室target 靶temperature ramp rate 温度斜率temperature 温度TEOS正硅酸乙脂test algorithm 测试算法test coverage测试覆盖test structure 测试结构test vector测试向量thermal budget 热预算thermal oxide 热氧化thermocompressi on bonding 热压键合thermocouple 热电偶thermogravimetric an alysis (TGA) 热重量分析thermoso nic bon di ng 热超声键合thin film 薄膜半导体术语表第42页共68页thin small outli ne package(TSOP) 薄小型圭寸装III-V compou nd 三/五族化合物thorough adj.十分的,彻底的Threshold 域值threshold voitage 域值电压threshold voltage adjustment implant 调栅注入,域值调整注入throughput 产量tilt [tilt] v.(使)倾斜,(使)翘起,以言词或文字抨击time of flight SIMS仃OF-SIMS) 飞行时间二次离子质谱tita nium silicide 钛硅化合物TLV极限域值top surface imag ing 上表面图形topography 形貌torr 托toxic 有毒track system(also track) 轨道系统tran sie nt enhan ced diffusio n仃ED) 瞬时增强扩散tran sistor 晶体管trench 槽trench capacitor 槽电容trichlorosila ne(TCS or SiHCL3) 三氯氢硅triode pla nar reactor三真空管平面反应室triple well 三阱trivale nt 三价tun gste n(W)钨tun gste n stch back 钨反刻tun gsten hexafluoride(WF6) 六氟化钨tun gste n plug 钨塞,钨填充turbomolecular pump(turbo pump) 涡轮分子泵twin pla nes(tw inning) 双平面半导体术语表第43页共68页twin-well(twi n-tub) 双阱UULSI甚大规模集成电路ultralow pen etration air(ULPA) 超低穿透空气ultrafiltration 超过滤ultrafi ne particle 超细颗粒ultrahigh purity 超高纯度ultrahigh vacuum 超高真空ultrashallow junction 超浅结ultrashallow jun ctio n 超声键合(压焊)ultraviolet 紫外线undercut 钻蚀un iformity 均匀性unit cell 元包,晶胞un patter ned etchi ng(spripp ing) 无图形刻蚀(剥离)un patter ned wafer 无图形硅片unplug v.拔去(塞子,插头等),去掉…的障碍物UV紫外线VVacancy 空位vacuum 真空vacuum wand 真空吸片棒,真空镊子van der pauw method 范德堡法vapor phase epotaxy(VPE) 气相外延vapor pressure 气压vapor prime气相熏增粘剂,气相成底膜半导体术语表第44页共68页vaporizati on 气化variable n.[数]变数,可变物,变量adj. 可变的, 不定的,易变的,[数]变量的variable angle spectriscipic ellipsometry(VASE) 可变角度椭偏仪variation n.变更,变化,变异,变种,[音]变奏,变调various adj.不同的,各种各样的,多方面的,多样的vertical furn ace 立式炉via通孑Lviscous flow 粘滞流VLSI超大规模集成电路volatile memory 挥发性存储器volatile 挥发voltage regulator 温压器Wwafer cassette 硅片架wafer charg ing 硅片充电wafer electrical test(WET) 硅片电学测试wafer etch硅片刻蚀wafer flat or notch 硅片定位边或定位凹槽半导体术语表第45页共68页wireb on di ng 引线键合wiri ng 连线withi n-wafer nonun iformity(WIWNU) 片内不均匀性XX-ray X射线X-ray fluoresce nce(XRF) X 射线荧光性半导体术语表第46页共68页X-ray lithography X 射线光刻X-ray photoelectron spectroscopy ( XPS) X 射线光电能谱仪YYield 成品率Yield man agem ent system 成品率管理系统ZZeta potential zeta 电势zone n.地域,地带,地区,环带,圈vt.环绕,使分成地带vi.分成区半导体术语表第47页共68页10. Ammonium fluoride : NH4F11. Ammonium hydroxide : NH4OH12. Amorphous silicon : a -Si,非晶硅(不是多晶硅)13. An alog :模拟的14. Angstrom : A (1E-10m)埃15. An isotropic :各向异性(如POLY ETCH16. AQL(Accepta nce Quality Level) :接受质量标准,在一定采样下,可以95%置信度通过质量标准 (不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(A ntireflective coat in g) :抗反射层(用于METAL等层的光刻)18. An tim on y(Sb)锑19. Argon (Ar)氩20. Arse nic(As) 砷21. Arsen ic trioxide(As2O3) 三氧化二砷22. Arsi ne(AsH3)23. Asher :去胶机24. Aspect ration :形貌比(ETCH中的深度、宽度比)25. Autodopi ng :自搀杂(外延时SUB的浓度高,导致有杂质半导体术语表第48页共68页蒸发到环境中后,又回掺到外延层)26. Back end :后段(CONTACT S后、PCM测试前)27. Baseli ne :标准流程28. Benchmark :基准29. Bipolar :双极30. Boat :扩散用(石英)舟31. CD : ( Critical Dimension )临界(关键)尺寸。
铝型材行业词汇-铝材英语铝锭Aluminum Ingot氧化铝alumina ,aluminum oxide氢氧化铝Hydrogen alumina电解铝electrolyse aluminum镀铝aluminum plating铝板Aluminum Board/plate/sheet花纹铝板Aluminum embossed sheet彩铝板colored Aluminum plate纯铝板pure Aluminum plate复合铝板clad aluminium硬铝板duralumin sheet铝合金Aluminum alloy锻用铝合金aluminum alloy for temper铝铜合金aluminum copper alloy铝基合金aluminum base alloy铝铁合金aluminum iron alloy铝镁合金aluminum magnesium alloy铝镍合金aluminum nickel alloy铝硅合金aluminum silicon alloy铝基硅镁合金anticorodal耐蚀铝合金corrosion-proof/ corrosion- resistant/ corrosion resisting aluminum alloy 铝黄铜aluminum brass铝青铜aluminum bronze高铝砖alumina brick铝合金型材aluminium alloy sections铝合金线Aluminum alloy wire铝型材Aluminumprofile铝棒Aluminum stick/rod铝圆棒Aluminum round bar铝箔Aluminum foil铝卷Aluminum roll铝带/铝条Aluminum strip铝线Aluminum thread/wire钢芯铝绞线aluminium cable steel reinforcing铝管Aluminum pipe/tube精拉铝管finishing Aluminum tube伸缩铝管extension Aluminum tube挤压铝管extruded aluminum pipe铝管避雷器aluminium cell lightning arrester挤压铝窗台extruded aluminum sill铝斜坡Aluminium Ramps铝曲管bended aluminium tube无缝铝管seamless Aluminum pipe散热器Radiator铝塑板Aluminum plastic board铝天花Aluminum ceiling铝板贴面吸声天花板aluminum absorbent ceiling 铝型材地板Aluminium Flooring Profiles铝幕墙Aluminum curtain wall铝制品Aluminum products铝制器皿aluminium ware铝制建筑构件aluminum unit铝圆片Aluminum wafer铝铸件Aluminum casting铝锻件Aluminum forge铝盖Aluminum cover铝箱Aluminum trunk铝梯Aluminum stairs铝粉Aluminumpowder高铝陶瓷alumina ceramics铝门窗Aluminum Doors & Windows废铝aluminium scrap铝片sheet aluminium铝标牌aluminium scutcheon铝铆钉aluminum rivet铝轮毂aluminum wheel spider/hub铝百叶aluminum shutter铝箔制品Aluminum foil products原铝virgin Aluminum铝梁aluminum beam工业铝原料industrial Aluminum materials铁分离器iron separator磁性上辊magnetic roller过滤器filter去磁器demagnetizer振动筛vibration sieve熔炼焊剂smelting flux镁助熔剂eliminate magnesium flux原辅材料Raw Materials铝矿aluminum ore铝土矿alumyte铝矾土bauxite铝砂aloxite涂料coating耐火材料refractory material稀土tombar thite/ rare-earth(RE)添加剂additive氟化盐fluoride salt氧化锌zinc oxide氟化铝aluminium fluoride硅酸铝aluminum silicate氯化铝aluminum chloride硝酸铝aluminum nitrate氮化铝aluminum nitride焦碳. coke石灰石limestone冰晶石cryolite电解滤液electrolyse filtrate熔剂油flux oil滤清油filtering oil纯碱alkali絮凝剂flocculating agent/ admixture液碱精炼剂refining agent覆盖剂covering agent打渣剂fluxing medium液压油hydraulic liquid/medium过滤板screen plate包装材料Packaging Material抛光剂polishing composition纸管paper tube纸制品paper products纸板paperboard厚纸板cardboard铝酸盐aluminate铝酸盐夹杂物aluminate inclusion铝酸aluminic acid铝铸件aluminium castings铝镀覆层aluminized coat铝化荧光屏aluminized screen渗铝aluminizing铝硅酸盐aluminosilicate硅酸铝耐火材料aluminosilicate refractory 铝焊料aluminum solder防腐剂anticorrodant防腐剂anticorrosive agent防腐涂料anticorrosive paint催化剂catalyzer含铝材料alumina-bearing material高铝矿渣aluminous clinke机械设备Machinery equipment工业炉Industrial furnace挤压设备extrusion equipment铝电解设备Aluminum electrolyse Equipment熔铸设备Founding Equipment净化设备Purifying Equipment冷轧设备Cold-rolled Equipment热轧设备Heat-rolled Equipment铸扎设备Founding Equipment包装设备packaging equipment检测设备inspection equipment切割设备Cutting Equipment磁电设备magnetoelectricity Equipment焊接设备Jointing equipment压延设备Plain Rolled Equipment隔热设备Thermal-insulation Equipment门窗幕墙设备Doors& Windows and Curtain Wall Equipment 型材拉弯设备Profiles Bending Equipment表面处理设备Surface Treatment Equipment气体发生设备Gas processing Equipment铝电解设备aluminium electrolysis Equipment切割设备cutting apparatus剪切设备shearing equipment涂层设备Coating equipment清洗设备cleaning equipment喷涂设备spraying equipment抛光设备polishing unit气体发生设备gas generation equipment工业毛毡Industrial felt整型机integer machine铝型材挤压模具Aluminum Section-Bar Extruding Mould铝热法aluminothermic process/ aluminothermy铝热还原aluminothermic reduction铝热焊aluminothermic welding≤-------------------------------------------------------------------------------------------------------------------其他others铝支承结构aluminum bearing structure铝雷管aluminum blasting cap铝车身aluminum body铝砌合屋顶屋面aluminum bonded roof covering铝黄铜aluminum brass铝桥aluminum bridge铝青铜aluminum bronze铝青铜涂料aluminum bronze paint铝制建筑外门aluminum building entrance door铝制建筑构件aluminum building member铝制建筑制品aluminum building product铝制建筑板材aluminum building sheet铝制建筑构件aluminum building unit铝心电缆aluminum cable铝心电缆甲套aluminum cable sheath铝雷管aluminum cap铸铝aluminum casting铸铝合金aluminum casting alloy矾土水泥aluminum cement槽型铝材aluminum channel花纹铝箔aluminum checker/checkered foil铝铬钢aluminum chrome steel铝制建筑构件aluminum component铝化合物aluminum compound铝芯电缆aluminum conductor铝制波纹形aluminum corrugated profile铝制波纹型材aluminum corrugated section铝制波纹片aluminum corrugated sheet铝制波纹构件aluminum corrugated unit铝板屋顶aluminum deck/deck roof铝顶板构件aluminum deck unit铝装饰型材aluminum decorative section压铸铝aluminum die casting铝制檐沟aluminum eave gutter/ trought铝电极法aluminum electrode methed铝制建筑外门aluminum entrance door挤压铝制品aluminum extrusion铝制结构立面aluminum facade铅制结构正面aluminum face铝制围栏aluminum fence铝制小五金aluminum fillings铝指板aluminum finger plate高岭土aluminum flake防雨铝板aluminum flashing楼面铝aluminum floor covering楼面铝覆面层aluminum flooring楼面铝覆面层aluminum flooring finish薄铝片aluminum foil铝箔背衬aluminum foil backing铝箔绝热aluminum foil insert铝箔绝热aluminum foil insertion铝制折板屋顶aluminum folded plate roof铝制模板aluminum form铝制模板aluminum form work铝制框架aluminum frame铝制结构立面aluminum front铝密封垫圈aluminum gasket铝金aluminum gold铝皂润滑脂aluminum grease铝窗格aluminum grid铝制网格天花板aluminum grid ceiling铝制格栅aluminum grille铝制扶手aluminum hand railing铝制小五金aluminum handware铝制铰链aluminum hinge氢氧化铝aluminum hydrate铝铁aluminum iron铝衬裹aluminum lining铝制过梁aluminum lintel铝镁合金aluminum magnesium alloy铝制建筑构件aluminum member铝网aluminum mesh铝模aluminum mould铝钉aluminum nail铝装饰型材aluminum ornamental section铝支柱底板aluminum outrigger base铝涂料aluminum paint银粉漆涂层aluminum paint coating铝板隔墙aluminum partition银灰漆aluminum paste铝制玻璃格条aluminum patent glazing bar 多孔铝制天花板aluminum perforated ceiling铝柱aluminum post铝打底涂料aluminum primer铝材aluminum product成型铝板aluminum profile panel成型薄铝板aluminum profile sheet成型铝板aluminum profiled panel成型薄铝板aluminum profiled sheet铝门拉手aluminum pull铝门拉手aluminum pull handle铝檩条aluminum purlin铝扶手aluminum railing滚动式铝格栅aluminum rolling grille铝辊式破碎机aluminum rolling plant卷开铝质百叶窗aluminum rolling shutter铝制卷板条aluminum rolling slat铝制屋顶aluminum roofing夹层铝板aluminum sandwch panel铝窗框aluminum sash铝螺丝aluminum screw密封铝皮aluminum seal/sealing sheeting铝结构型材aluminum section铝结构型材aluminum shape铝制建筑板材aluminum sheet铝皮镶面aluminum sheet facing铝皮镶面aluminum sheet lining铝板屋面aluminum sheet roof铝皮镶面aluminum sheet surface铝板屋面aluminum sheeting roof铝模板aluminum shuttering铝墙板aluminum siding硅酸铝aluminum silicate铝仓筒aluminum silo铝合金洗涤盒aluminum sink铝合金洗涤盒aluminum sink unit铝包皮aluminum skin超高铝制烟囱aluminum skyscraper铝板放护板aluminum slatted blind铝板卷开百叶窗aluminum slatted rollor blink 推拉式铝门aluminum sliding door铝熔炼厂aluminum smelting plant铝皂aluminum soap软铝棉aluminum soft wool铝焊料aluminum solder铝声控天花板aluminum sound control ceiling铝制支架底板aluminum stabilizer base硬脂酸铝aluminum stearate铝钢aluminum steel道路照明铝制电杆aluminum street lighting column 铝板条aluminum strip硫酸铝aluminum sulphate硫酸铝aluminum sulphate sulfate铝制遮阳板aluminum sunblind铝制遮阳板aluminum sunbreaker铝铺面aluminum surfacing双开式弹簧铝门aluminum swing door铝轨aluminum track铝结构型材aluminum trim铝汽封aluminum vapor barrier铝墙纸aluminum wallpaper铝焊条aluminum welding rod铝窗装置aluminum window furniture铝丝布aluminum wire cloth铝木组合结构aluminum wood composite system 铝纤维aluminum wool锻制铝合金aluminum wrought alloy锌铝aluminum zinc铝密封alumiseal明矾石alumite氧化铝板alumite tile防蚀铝线alumite wire明矾石alumstone铝氧粉alundum刚铝石水泥alundum cement铝石砖alundum tile。
一高纯铝二电子铝箔(光箔)三电极箔四检测设备标准方面五经济商务方面Part one: high purity aluminiumgained high purity aluminium through 2 ways,one is three-layer electrolysis( 三层电解法,电子化学法),electrical-chemical way;second is segregation process, segregation stoves(偏析炉, 偏析分离),physical way物理方法.HydroThe basic production process used in our plants at Grevenbroich , Germany and Vennesla, Norway, is three-layer electrolysis. Primary aluminium( al99.7) is refined to high-purity aluminium (al 4N) in electrolyticrefining cells. In the segregation process at our Naoetsu plant in Japan, we exploit the different solidification properties of the elements to “segregate”impurities. Depending on the starting material (3N smelter metal or 4N three-layer electrolysis metal) , 4N and also 5N segregated metal can be produced. Additionally, the segregation process at our plant in Grevenbroich , Germany enables us to manufacture 5N and 6N grades.我们的生产基地在Grevenbroich ,德国,和Vennesla,挪威,是三层电解。
铝电解电容器专业词汇有机介质电容器organic dielectric capacitora.薄膜电容器film capacitor比较精密,耐高温高压,用在音箱上。
无机介质电容器mineral condensera.云母电容mica capacitorb.陶瓷电容ceramic capacitor综合性能好,价格贵。
可以应用GHz级别的超高频器件上,比如CPU/GPU。
双电层电容器double electric layer capacitor电容特别大,可达到几百f,UPS的电池用,作用是储存电能。
电解电容器electrolytic capacitor特点:单位体积的电容量大;额定的容量可以做到非常大;成本相对比较低铌电解电容器niobium electrolytic capacitor铝电解电容器aluminium electrolytic capacitorAdvantages of Al/Polymer capacitor1.Low ESR at high frequency(100k~300kHz)2.High ripple current endurance3.Super long Life4.High thermal stabilitySuper long Life Life evaluation of Al capacitorLiquid type10℃reduced 2 times longerPolymer type20℃reduced 10 times longerLx=Life expectance(Hrs) in actual useLo=Guaranteed(Hrs) at maximum temperature in useTo=Maximum operating temperatureTa=Temperature in actual useCustomized(1)—WeldingMerits : Full Automatic, Touch panel control, Welding preciselyCustomized(2)—FormationMerits : Auto load/unloading, Fully automatic, Touch panel controlCustomized(3)—ImpregnationMerits : Auto load/unloading, Touch panel control, Injection preciselyCustomized(4)—PolymerizationMerits : IR Conveyor oven, Temp. control preciselyKey parameters of lifeAmbient TemperatureSelf heat rise caused by the Ripple CurrentMechanism of lifetimeElectrolyte vaporization [,veipərai'zeiʃən] due to high temperature 高温会导致电解液的蒸发Gassing due to chemical reaction between electrolyte and oxide由于电解液和氧化膜之间会发生化学反应,这就导致了气体的产生Gassing due to electrolyte decomposition 电解液的分解也会导致气体的产生Gassing气体的产生-- INNER PRESURE RISE电容器内部压力的上升--Diffusion through sealing rubber电解液会从密封皮头扩散出来--Element dry-up芯包变干-- Open circuit 开路会产生Thermocouple热电耦SMT贴片工艺安装,需要波峰焊(wave soldering)工艺处理,电容经过高温之后可能会影响性能,尤其是阴极采用电解液的电容,经过高温后电解液可能会干枯。
化学及化工专业词汇英语翻译(D-I)2- -dual gravity valve 双比重阀duboisine 天仙子胺ductility 延性ductilometer 拉伸度仪dulcin 对乙氧基苯脲dulcitol 卫矛醇dull finish 消光dull surface 无光面dulong petit's law 杜珀二氏定律duma's method 杜马斯法duplet 电子偶duplex printing 双面复合印花durability 耐久性duralumin 硬铝durene 杜烯duriron 杜里龙高硅钢durometer 硬度计durometer hardness 硬度计硬度dust chamber 除尘器dust coal 粉煤dust collector 除尘器dust powder 木炭粉dust sampler 粉尘采样器dust separator 除尘分离器dye 染料dye laser 染料激光器dyeing 染色dyeing assistant 染色辅助剂dyeing equilibrium 染色平衡dyeing power 染色力dyeing speed 上染速度dyestuff 染料dyestuff chemistry 染料化学dynameter 倍率计dynamic equilibrium 动态平衡dynamic isomerism 动态异构性dynamic modulus 动态模量dynamic pressure 动压dynamic viscosity 动态粘度dynamics 动力学dynamite 达纳炸药dypnone 缩二苯乙酮dysprosium 镝dysprosium bromide 溴化镝dysprosium carbonate 碳酸镝dysprosium chloride 氯化镝dysprosium hydroxide 氢氧化镝dysprosium nitrate 硝酸镝dysprosium oxide 氧化镝dysprosium oxychloride 氯氧化镝dysprosium phosphate 磷酸镝dysprosium sulfate 硫酸镝dystectic mixture 高熔混合物dystectic point 高熔点e bond e 键early strength cement 早强水泥earth acids 土酸类earth color 矿物颜料earth metals 土金属earth wax 木炭earthenware 陶器earthy humus 土状腐殖质ebonite 硬橡胶ebulliometer 酒精沸点计;沸点测定器ebullioscopic constant 沸点升高常数ebullioscopic method 沸点升高法ebullition 沸腾ecdysone 蜕化素ecgonine 芽子碱echelon grating 梯式格子eclipsing effect 重叠效应economizer 省煤器eddy 涡流eddy conductivity 涡寥导性eddy current 涡电流eddy viscosity 涡脸度edeleanu process 爱德林精炼法edestin 麻仁球蛋白edge runner 轮碾机edible fat 食用脂edible oil 食用油edison storage battery 爱迪生蓄电池editcoal gas 煤气editcyclotron 回旋加速器editmetallized carbon filament 金属化碳丝editmethacrylate resin 甲基丙烯酸尸editnitroglycerin 硝化甘油editpaint film 漆膜editpotassium cyanide 氰化钾editpour point 晶出点editsteric hindrance 立体阻碍editthixotropic gel 触变胶体edittrialkyl chlorosilane 三烷基氯硅烷editwater purification unit 净水设备edman degradation technique 埃德曼降解技术effect of extension 延伸效应effective angle 有效角effective area 有效面积effective collision number 有效碰撞数effective half life 有效半衰期effective head 有效扬程effective permeability 有效渗透率effective power 有效功率effective quantum number 有效量子数effective resistance 有效抵抗effective thermal conductivity 有效热传导率effective value 有效值effervescence 发泡efficiency 效率efficiency of dust collection 除尘效率efficiency of rectification tower 精馏塔效率efflorescence 风化effluent 瘤物efflux velocity 瘤速度efflux viscometer 瘤式粘度计effusiometer 打散计effusion 喷出effusive rock 喷出岩egg albumin 卵清蛋白egg white 蛋白egg yolk 蛋黄ehrlich's reagent 欧利布试剂eicosane 廿烷eicosanoic acid 花生酸eigen energy 本哲eigenfunction 本寨数eigenvalue 本盏eikonogen 显影剂einstein bohr equation 爱因斯坦玻尔方程einstein condensation 爱因斯坦凝聚einstein diffusion equation 爱因斯坦扩散方程einstein photochemical equivalenct law 爱因斯坦光化当量定律einstein photoelectric law 爱因斯坦光电定律einstein planck law 爱因斯坦普朗克定律einstein's equation for specific heat 爱因斯坦比热方程einstein's viscosity equation 爱因斯坦粘度方程式einsteinium 锿ejector 喷射器ejector condenser 喷射式冷凝器eka cesium 类铯eka element 待寻元素eka iodine 类碘eka tantalum 类钽elaeolite 脂光石elaeometer 油脂比重计elaeosacchara 油糖剂elaidic acid 反油酸elaidin 反油酸精elaidin test 反油酸检验elaidinization 反油酸转位elastase 弹性蛋白酶elastic aftereffect 弹性后效elastic body 弹性体elastic collision 弹性碰撞elastic constant 弹性常数elastic deformation 弹性变形elastic force 弹性力elastic gum 弹性胶elastic hysteresis 弹性滞后elastic limit 弹性极限elastic medium 弹性介质elastic modulus 弹性系数elastic recovery 弹性复原elastic rubber 弹性胶elasticity 弹性elastin 弹性硬朊elastomer 弹性体elastometer 弹性计elastoviscometer 弹性粘度计elastoviscometry 弹性粘度测量法elaterometer 气体密度计elatrometry 气体密度测量法elbs reaction 埃尔布斯反应electret 驻极体electric analysis 电分析法electric arc furnace 电弧炉electric calorimeter 电热量计electric charge 电荷electric conductivity 导电率electric conductor 导体electric current 电流electric desalting 电脱盐electric detonator 电爆管electric discharge 放电electric double layer 双电层electric drying apparatus 电干燥机electric dust precipitator 电集尘器electric energy 电能electric field 电场electric furnace 电炉electric heater 电热器electric heating 电热electric insulation 电绝缘electric potential 电位electric power 电力electric precipitation 电力沉淀electric resistance 电阻electric resistance furnace 电阻炉electric resistance manometer 电阻压力计electric resistance thermometer 电阻温度计electric susceptibility 电极化率electric thermostat 电热恒温器electric welding 电焊electrical carbonization 电法炼焦electrical dispersion 电分散法electrical property 电性质electroactive substance 电活性物质electroanalysis 电分析electrocapillarity 电毛细现象electrocapillary curve 电毛细管曲线electrocast brick 电熔耐火砖electrocasted refractories 电炉熔铸耐火物electrocasting 电铸electrocatalysis 电催化酌electroceramics 电陶瓷electrochemical cell 蓄电池electrochemical corrosion 电化学腐蚀electrochemical equivalent 电化当量electrochemical industry 电化工业electrochemical passivation 电化钝化electrochemical polarization 电化极化electrochemical process 电化法electrochemical protection 电化学防腐法electrochemical reaction 电化学反应electrochemical series 电化序electrochemistry 电化学electrochromatography 电气色层法electrocoagulation 电凝聚electroconductive glass 电导玻璃electrocyclic reaction 电环化反应electrode 电极electrode potential 电极势electrode process 电极过程electrode reaction 电极反应electrodecantation 电倾析electrodeposition 电极沉积electrodeposition analysis 电沉积分析electrodialysis 电渗析electroexplosive 电起爆炸药electrofocusing 等电点聚焦electroforming 电铸electrogeochemistry 电地球化学electrogravimetric analysis 电重量分析electrohydrometry 电液体比重测量法electrokinetic phenomena 界面电动学现象electrokinetic potential 界面动电势electroluminescence 电致发光electrolysis 电解electrolyte 电解质electrolytic aluminium 电解铝electrolytic analysis 电解分析electrolytic analysis apparatus 电解分析装置electrolytic bath 电解槽electrolytic bleaching 电解漂白electrolytic cell 电解槽electrolytic cleaning 电解清洗electrolytic condenser 电解质电容器electrolytic copper 电解铜electrolytic degreasing 电解去油electrolytic dissociation 电离electrolytic dissociation constant 电离常数electrolytic extraction 电解萃取electrolytic hardening 电解硬化electrolytic oxidation 电解氧化electrolytic polarization 电解极化electrolytic polishing 电解研磨electrolytic rectifier 电解整流electrolytic reduction 电解还原electrolytic refining 电解精炼electrolytic solution 电解溶液electrolytical surface treatment 电表面处理electrolytics 电解学electromagnet 电磁铁electromagnetic field 电磁场electromagnetic flowmeter 电磁量计electromagnetic gas analyzer 电磁气分析计electromagnetic induction 电磁感应electromagnetic oscillograph 电磁式示波器electromagnetic separation 电磁分离electromagnetic separator 电磁分离器electromagnetic wave 电磁波electromerism 电子异构electrometallurgy 电冶金学electrometer 电位计electrometer tube 电表管electrometric titration 电滴定electromigration 电迁移法electromotive force 电动势electron 电子electron affinity 电子亲合势electron beam 电子束electron capture 电子俘获electron cloud 电子云electron configuration 电子构型electron density 电子密度electron diffraction 电子衍射electron donor 电子供体electron emission 电子发射electron exchange 电子交换electron exchange resin 电子交换尸electron gas 电子气electron lattice interaction 电子点阵相互酌electron microscope 电子显微镜electron orbit 电子轨道electron oxidation reduction resin 电子交换尸electron pair 电子对electron pair bond 电子对键electron probe microanalysis 电子探针微量分析electron rays 电子束electron redox resin 电子交换尸electron shell 电子壳electron spectroscopy 电子能谱术electron transfer reaction 电子转移反应electron transport 电子转移electron transport system 电子传递体系electron tube 电子管electron volt 电子伏特electronegative element 阴电性元素electronegativity 电负度electronic charge 电子电荷electronic computer 电子计算机electronic conduction 电子传导electronic friction 电子摩擦electronic galvanometer 电子管检疗electronic oscillator 电子振荡器electronic polarization 电子极化electronic self balancing type recorder 电子自动平衡记录器electronic structure of molecule 分子的电子结构electronic tube glass 真空管玻璃electronic voltmeter 电子伏特计electronics 电子学electroosmosis 电渗透electrophilic agent 亲电子试剂electrophilic reaction 亲电子反应electrophilic rearrangement 亲电子换位electrophoresis 电泳electrophoresis apparatus 电泳器electrophoretic effect 电泳效应electrophotography 电照相术electroplating 电镀electropositive element 阳电性元素electropositive potential 阳电势electroscope 验电器electrosol 电溶胶electrostatic field 静电场electrostatic induction 静电感应electrostatic potential 静电势electrostatic separator 静电选矿机electrostatic valence rule 静电价规则electrostenolysis 细孔隔膜电解electrotechnical porcelain 电瓷electrothermic industry 电热工业electrothermics 电热学electrovalence 离子价electrowinning 电解沉积element 元素elementary analysis 元素分析elementary charge 电子电荷elementary particle 基本粒子elementary reaction 基本反应elemi 榄香脂eleolite 霞石eleolite syenite 霞石正长岩eleostearic acid 桐酸elevation of boiling point 沸点升高elgin extractor 埃尔金萃取器elimination reaction 消除反应ellagic acid 花烯ellipsometry 椭圆光度法elongation percentage 伸长率eluate 洗出液eluent 洗脱液elution 洗提elution analysis 淘析分析elution constant 淋洗常数elution curve 淘析曲线elutriation 淘析elutriator 淘析器emagram 埃玛图eman 埃曼emanation 射气emerald 纯绿宝石emerald green 巴黎绿emery 金刚砂emery cloth 研磨砂布emery paper 砂纸emery wheel 金刚砂轮emetine 依米丁emetine hydrochloride 盐酸依米丁emission flame photometry 发射火焰光度法emission spectroanalysis 发射光谱分析emission spectrochemical analysis 发射光谱分析emission spectrum 放射光谱emission standard 排出标准emissivity 放射率empire cloth 绝缘丝布empirical formula 实验式empirical rule 经验定则emulgator 乳化剂emulsification 乳化emulsification test 乳化试验emulsifier 乳化剂emulsifying agent 乳化剂emulsin 苦杏仁酶emulsion 乳浊液emulsion breaking 反乳化emulsion cleaner 乳状洗涤剂emulsion paint 乳胶状漆emulsion polymerization 乳浊聚合emulsoid 乳胶体enamel 搪瓷enamel paint 瓷漆enamel paper 加工印刷纸enameled paper 蜡图纸enameling furnace 搪瓷窑enamine 烯胺enanthaldehyde 庚醛enanthic acid 庚酸enantiomorphic crystal 对映晶体enantiomorphous 对映的enantiomorphy 对映现象enantiotropy 互变现象enargite 硫砷铜矿end absorption 末端吸收end cell 端电池end group 末端基end group measurement 端基测定end point 终点end point correction 终点修正end point error 终点误差end product 最终产品endless belt 环状带endo 桥endo enzyme 内酵素endo form 春孢型endocyclic double bond 桥环双键endonuclease 核酸内切酶endopeptidase 肽链内切酶endosmosis 内渗endosulfan 板硫烷endothermic 吸热的endothermic compound 吸热化合物endothermic reaction 吸热反应endoxan 安道生endrin 异狄氏剂energy 能energy band 能带energy gap 能隙energy ionization 电离能energy level 能级energy metabolism 能量代谢energy momentum tensor 能量动量张量energy quantum 能量子enfleurage 花香的提取engine distillate 发动泼轻油engine oil 机油engineering 工程engineering unit 工程单位engler distillation 断蒸馏engler distillation test 断蒸馏试验engler flask 断长颈馏瓶engler viscometer 断粘度计enol 烯醇enol form 烯醇式enol keto tautomerism 烯醇酮互变异构现象enolase 烯醇酶enolate anion 烯醇阴离子enolization 烯醇化enriched uranium 浓缩铀enriched water gas 富水煤气enriching column 富集柱enthalpimetric analysis 热焓分析enthalpy 焓entner doudoroff pathway 抖攀咸墙馔揪恩entrainment 雾沫夹带entropy 熵entropy elasticity 熵弹性envelope 包晶environmental capacity 环境容量environmental science 环境科学environmental standard 环境标准enzyme 酶enzyme chemistry 酵素化学enzyme inhibition 酶抑制enzyme repression 酶阻遏enzyme unit 酶单位enzymology 酶学eosin 伊经eosine 曙红钠ep lubricant ep 润滑剂ephedrine 麻黄碱epiandrosterone 表雄甾酮epichlorohydrin 表氯醇epichlorohydrine 氯甲代氧丙环epimer 差向异构体epimerase 表异构酶epimerization 差向异构酌epinephrine 肾上腺素epitaxy 取向生长epoxidation 环氧化epoxide 环氧化物epoxy compound 环氧化合物epoxy group 环氧基epoxy resin 环氧尸epoxy resin varnish 环氧尸清漆epsom salt 淘利盐epsomite 淘利盐equalizing line 均衡管equation of state 状态方程equatorial bond 平伏键equiatomic 等原子的equidensity technique 等密度法equilibrium 平衡equilibrium concentration 平衡浓度equilibrium constant 平衡常数equilibrium moisture content 平衡湿量equilibrium ratio 平衡比equilibrium solubility 平衡溶解度equilibrium state 平衡状态equimolecular mixture 等分子混合物equipartition 均分equipment 装置equipotential surface 等势面equivalence 等价equivalence point 当量点equivalent 当量equivalent concentration 规定浓度equivalent conductivity 当量电导equivalent nuclei 等效核equiviscous temperature 等粘温度erbium 铒erbium chloride 氯化铒erbium hydroxide 氢氧化铒erbium nitrate 硝酸铒erbium oxalate 草酸铒erbium oxide 氧化铒erbium sulfate 硫酸铒erbon 抑草蓬erepsin 肠肽酶ereptase 肠蛋白酶ergobasine 麦角巴生ergocalciferol 麦角钙化甾醇ergocornine 麦角柯宁碱ergocristine 麦角日亭ergocryptine 麦角环肽ergodic hypothesis 遍历假设ergometrine 麦角新碱ergosine 麦角僧ergosterol 麦角甾醇ergotamine 麦角胺ergotinine 麦角亭宁ergotoxine 麦角毒eriochrome black t 羊毛铬黑t eriodictyol 毛纲草酚erlenmeyer flask 锥瓶erlenmeyer synthesis 厄伦美厄合成erosion 腐蚀erosiveness 侵蚀性error 误差error of sampling 取样误差erucic acid 瓢儿菜油酸erucic amide 芥酸胺erucyl alcohol 瓢儿菜醇erythrene 刺桐烯erythrite 钴华erythritol 赤藓醇erythrocruorin 无脊椎动物血红蛋白erythrocyte 红血球erythrodextrin 红糊精erythroidine 刺桐定erythrose 赤藓糖erythrosine 赤藓红erythrulose 赤藓酮糖escaping tendency 逃逸瞧eschka mixture 埃斯卡混合融剂esculin 七叶灵eserine 毒扁豆碱esparto wax 梧牙草蜡essential amino acid 必需氨基酸essential fatty acid 必需脂肪酸essential oil 精油ester 酯ester gum 酯屎ester interchange 相互酯化ester of fatty acid 脂肪酸酯ester value 酯化值esterase 酯酶esterification 酯化estersil 硅酯estradiol 雌二醇estragole 草蒿脑estragon oil 龙蒿油estrane 雌烷estriol 雌三醇estrone 雌素酮etard reaction 埃塔反应etch pit 腐蚀斑etching 腐蚀etching figure 蚀像etching ground 腐蚀涂料etching ink 腐蚀墨水ethanal 乙醛ethane 乙烷ethanedioic acid 草酸ethanethiol 乙硫醇ethanol 乙醇ethanolamine 乙醇胺ethene 乙烯ethenol 乙烯醇ethephon 乙烯利ether 醚ether extract 乙醚抽出物etherification 醚化ethion 乙硫磷ethionamide 乙硫磷酰胺ethionine 乙硫氨酸ethisterone 妊娠素ethohexadiol 乙基己二醇ethoxide 乙醇盐ethoxybenzene 乙氧基苯ethoxylation 乙氧基化ethyl abietate 松香酸乙酯ethyl acetate 乙酸乙酯ethyl alcohol 乙醇ethyl benzoate 苯酸乙酯ethyl butyrate 丁酸乙酯ethyl caprate 癸酸乙酯ethyl carbonate 碳酸乙酯ethyl cellulose 乙基纤维素ethyl chloride 氯乙烷ethyl cyanide 乙基氰ethyl ether 乙醚ethyl formate 甲酸乙酯ethyl group 乙基ethyl iodide 碘乙烷ethyl isobutyrate 异丁酸乙酯ethyl isocyanate 异氰酸乙酯ethyl lactate 乳酸乙酯ethyl laurate 十二酸乙酯ethyl malonate 乙基丙二酸酯ethyl methacrylate 甲基丙烯酸乙酯ethyl nitrate 硝酸乙酯ethyl oleate 油酸乙酯ethyl oxide 氧化乙烷ethyl phenyl ketone 苯丙酮ethyl salicylate 水杨酸乙酯ethyl sulfoxide 乙基亚砜ethyl thioether 乙硫醚ethylacetylene 乙基乙炔ethylamine 乙胺ethylate 乙醇盐ethylation 乙基化ethylbenzene 苯乙烷ethylcyclohexane 乙基环己烷ethylene 乙烯ethylene chloride 氯化乙烯ethylene chlorohydrin 2 氯乙醇ethylene cyanohydrin 乙撑氰醇ethylene glycol 乙撑亚胺ethylene lactic acid 羟丙酸ethylene oxide 氧丙环ethylene union 烯键ethylenediamine 乙二胺ethylenic linkage 烯键ethylidene chloride 乙叉二氯ethylidene lactic acid 乙叉式乳酸ethylmercaptan 乙硫醇ethylsulfuric acid 乙基硫酸ethyne 乙炔eucaine 优卡因eucalyptol 桉试eucolloid 真胶体eucryptite 锂霞石eudiometer 量气管eudiometry 气体测定法eugenol 丁子香酚euglobulin 优球蛋白euler number 欧拉数eupaverine 优帕非林europium 铕europium carbonate 碳酸铕europium chloride 三氯化铕europium hydroxide 氢氧化铕europium nitrate 硝酸铕europium oxide 氧化铕eutectic 共晶eutectic alloy 低共熔合金eutectic mixture 共晶混合物eutectic point 共融点eutectic temperature 共融温度evacuation 排空evaporating dish 蒸发皿evaporation 蒸发evaporation losses 蒸发损失evaporation residue 蒸发残渣evaporation to dryness 蒸干evaporator 蒸发器evt 等粘温度exact differential 恰当微分exaltation 超加折射exaltone 环十五烷酮excess air 过量空气excessive pressure 超压exchange adsorption 交换吸附exchange current 互换流exchange energy 交换能量exchange equilibrium constant 交换平衡常数exchange force 交换力exchange group 交换基exchange integral 交换积分exchange interaction 交换相互酌exchange reaction 交换反应excimer 受澈二聚物exciplex 激态络合物excitation 激励excitation potential 激励电位excitation purity 激发纯度excited state 激励状态exciton 激子excluded volume effect 已占体积效应exfoliation 剥落exhaust fan 排风机exhaust gas 废气exhaust steam 废蒸气exhauster 排风机exhaustion point 消耗点exocyclic double bond 环外双键exon 外显子exonuclease 核酸外切酶exopeptidase 外肽酶exosmosis 外渗exotherm 放热曲线exothermic 产热的exothermic compound 放热化合物exothermic reaction 放热反应exotic atom 异原子exotic fuels 高热值燃料expanded clay 膨胀粘土expanded plastics 泡沫塑料expander 膨胀器expansion 膨胀expansion coefficient 膨胀系数expansion ratio 膨胀比expansion system 膨胀系expansive cement 膨胀水泥expansivity 膨胀系数expectation value 期待值experiment 实验experimental chemistry 实验化学experimental error 实验误差experimental fact 实验事实explosion limits 爆炸极限explosion pipet 爆炸球管explosion wave 爆炸波explosive chain reaction 爆炸链锁反应explosive compound 爆炸化合物explosive mixture 爆炸混合物explosive oxidizer 炸药的氧化剂explosive spark 爆炸火花explosive substance 爆炸物exposure meter 露光计exposure time 曝光时间extender 增量剂extender pigment 体质颜料extensibility 伸长性extension 延伸extension modulus 伸长模量extension ratio 伸长比extensive property 外延性质extensive variable 外延变量external corrosion 外部腐蚀external indicator 外指示剂external waviness 表面波纹external work 外功extinction coefficient 吸光系数extract 萃取物extract liquor 萃取液extractability 萃取率extractant 提取剂extracting reagent 提取剂extraction 萃取extraction battery 萃取崎extraction method 抽出法extraction photometric method 提取光度法extraction rate 提取速度extraction system 抽提系统extractive crystallization 抽提结晶extractive distillation 提取蒸馏extractor 萃取器extraordinary ray 非常光线extrapolation 外插法extreme environment 极限环境extreme pressure lublicating oil 极压润滑油extreme value 极值extremum 极值extruded article 压出制品extruder 挤出机extrusion 挤压压出extrusion molding 挤压成形exudation 渗出eyepiece 目镜f acid f 酸f distribution f 分布fabric 织物fabric filler 织物填料fabrication 制造face centered cubic lattice 面心立方晶格facet 面factice 油膏factor 因数factorial design 因子设计factorial development 系数显影法factory test 工厂试验facultative anaerobe 嫌气性细菌fadeometer 褪色计fahrenheit scale 华氏温标fall velocity 沉降速度falling ball viscometer 落球粘度计falling drop method 落滴法falling rate drying 降速率干燥false setting 异常凝固fan 风扇fan belt 风扇皮带farad 法拉faraday constant 法拉第常数faraday effect 法拉第效应faraday's law of electrolysis 法拉第电解定律farinometer 面粉谷胶测定器farnesol 法呢醇fashioning 成形fast color 不褪色颜色fast powder 高速炸药fastness 坚牢度fastness test 坚牢度试验fastness to rubbing 耐摩擦坚牢度fastness to water 耐水性fat 脂肪fat and oil 油脂。
点击朗诵外语-需要启用宏后才能使用朗诵功能启用方法:先启用编辑,然后启用宏内容。
2003版启用方法如下:工具→宏→安全性→低或中→重启文档→启用宏不会启用宏?点击查看帮助!1. Personnel 人员职员2. Voltmeter 电压表伏特计3. Ohmmeter 欧姆计电阻表4. Megohmmeter 兆欧表5. Wattmeter 瓦特计电表功率6. Watt-hour 瓦时瓦特小时7. Ammeter 安培计电流表8. calibrate 校正9. scale 刻度量程10. rated 额定的11. interfere with 有害于。
12. indicating needle仪表指针13. hazardous 危险的14. pivot 支点15. terminal 端子16. spiral 螺旋形的17. spring 弹簧18. shunt 分流,分路,并联,旁路19. rectifier 整流器20. electrodynamometer 电测力计21. strive for 争取22. vane 机器的叶,叶片23. strip 条,带,(跨接片24. crude 不精细的,粗略的25. polarity 极性26. fuse 保险丝 ,熔丝27. rugged 坚固的28. depict 描绘 ,描写29. cartridge 盒式保险丝30. blow (保险丝烧断31. plug fuse 插头式保险丝32. malfunction 故障33. deenergize 不给… 通电34. insulation 绝缘35. generator 发电机36. magneto 磁发电机37. humidity 湿度38. moisture 潮湿湿气39. abbreviate 缩写,缩写为40. transformer 变压器41. thumb 检查 ,查阅42. milliammeter 毫安表43. multimeter 万用表44. dynamometer 测力计,功率计45. aluminum 铝46. deteriorate 使….恶化47. eddy current 涡流48. gear 齿轮 ,传动装置49. dial 刻度盘50. semiconductor 半导体51. squirrel 鼠笼式52. diode 二极管53. thyristor 晶闸管54. transistor 电子晶体管55. triac 双向可控硅56. phase 相位(控制57. silicon 硅58. crystal 晶体59. wafer 薄片60. anode 阳极 ,正极61. cathode 阴极62. collector 集电极]63. emitter 发射极64. schematic (电路原理图符号65. leakage 漏电流66. rating 额定值,标称值,定额67. dissipate 散发68. breakdown 击穿69. heat sink 散热器70. self-latching 自锁71. commutation 换向72. geometry 几何结构73. squeeze 压榨,挤,挤榨74. light-dimmer 调光75. capability 容量76. studmounted 拴接式77. hockey puck 冰球78. fin 飞边79. active 有源的80. horsepower 马力81. diameter 直径82. in. (inch ,inches英寸83. extruded 型材的84. clamp 夹住,夹紧85. compound 紧密结合86. wrench 扳手87. torque 转矩,扭矩88. enclosure 外(机壳89. ventilation 通风,流通空气90. sealed-off 封的91. thermal 热的,热量的92. substantially 主要地,实质上地93. aptly 适当地,适宜地94. demystify 阐明95. allude 暗指,直接提到96. cease 停止,终了97. line 线电压98. ripple 脉动.99. redundant 多余的100. separately 单独励磁地101. synchronous 同步电动机102. circuitry 电路,线路103. cost-effective 花费大的104. capacitor 电容器105. dictate 确定106. trade-off 权衡,折衷107. criteria 标准,判据108. analog electronics电力电子学109. saturate 使…饱和110. active region 动态区域111. due 应得到的112. ratio 比,比率113. signify 表示114. encode 编码115. resonance 共鸣116. radiated 传播117. molecule 分子118. diaphragm 震动膜119. acoustic wave 声波120. wavy groove 起伏的沟槽121. deflection 挠度 ,挠曲122. strain gage 应变计量器123. tachometer 转速计124. thermocouple 热电偶125. oscilloscope 示波器126. analytical 解析的127. numerical 数值的128. integrate 求…的积分129. scale 改变比例130. frequency- domain 频域131. random 随机的132. audio 音频的133. operation amplifier 运算放大器134. summation 求和,加法135. sophisticated 复杂的,完善的136. mass-produce 大量生产137. subtract 减去138. inverting amplifier 反向放大器139. uninverting amplifer 同相放大器140. derive 推倒141. active filter 有源滤波器142. stabilize 使稳定143. moderate 适度的,适中的144. virtue 优点145. amplification 扩大146. capacitor 电容器147. impedance 阻抗148. bode plot 波特图149. simulate 模拟,方针150. narrowband filter 带通滤波器151. low-pass filter低通滤波器152. high-pass filter高通滤波器153. differential equation 微分方程154. prebias 预偏置155. summer 加法器156. weighted 加权的157. refinement 改进158. accommodate 适应159. envision 预见160. alphabet 字母表161. validity 正确性162. proposition 命题163. binary 二进制164. nevertheless 然而165. reveal 展现166. complement 补码167. truthtable 真值表168. algebraical 代数的169. trial and error 试错法,试凑法170. elapse 时间(流逝171. enumerate 列举172. expire 期满,终止173. brute 僵化的174. prime 上撇号175. trigger 引起 ,触发176. inversion 反相 ,反转177. quadru ple 四合一178. fabricate 制造179. integrated circuit 集成电路180. capsule 封装181. compatible 兼容的182. obsolete 废弃的183. threshold 门限,阈值184. zener diode 齐纳二极管185. adjacent 临近的,接近的186. arc welding 电弧焊187. intimately 密切地188. recast 重做189. bistable circuit 双稳电路190. cutoff 截止,关闭191. symmetry 对称192. lable 为……标号193. equilibria 平衡194. lever 杆,杠杆195. latch circuit 锁存电路196. depress 压下197. flip-flop 触发器198. glitch 同步199. leading edge 上升沿200. lagging(trailing edge 下降沿201. inhibit 禁止202. hitherto 迄今,至今203. toggle (来回切换204. impulse 推动力205. air gap 气隙206. aircraft 飞机207. alternating current, AC 交流208. armature 电枢209. automobile 汽车210. bearing 轴承211. brush 电刷212. carbon 碳213. circumference 圆周214. clearance 间隙215. coils 线圈绕组216. commutator 换向器217. connection 接线端218. copper bar 铜导条219. copper end rings 铜端环220. core 铁心221. cylindrical 圆柱式的222. doubly excited 双边励磁223. electromechanical 机电的224. felt 毡225. ferromagnetic 铁磁的226. field pole 磁极227. flux density 磁通密度228. frame 机座,机壳229. generator 发电机230. glue 胶合,粘贴231. graphite 石墨232. induction motor感应电动机233. laminate 叠制,叠压234. lubricant 润滑剂 ,润滑油235. magnetic flux 磁通236. magnetizing current 磁化电流,励磁电流237. mechanical rectifier 机械式换向器238. metallic 金属的239. penetrate 透过,渗透240. periphery 周围,圆周241. perpendicular 垂直的,正交的242. polarity 极性243. protrude 使伸出,突出244. reluctance 磁阻245. revolving magnetic field 旋转磁场246. rotor 转子247. salient 突出的248. salient-pole 凸极式249. servo 伺服250. singly excited 单边励磁251. slip rings 滑环252. slot 槽,开槽253. squirrel-cage 鼠笼式,笼型254. stator 定子255. synchronous machine 同步电机256. torque 转矩257. toroid 环状物258. transformer 变压器259. unidirectional 单方向的,方向不变的260. winding 绕组261. wound-rotor 绕线式262. wrap 捆,缠,环绕263. yoke 轭264. allowable temperature rise 允许温升 265. alnico 铝镍钴合金266. asynchronous machine 异步电机267. automobile starter motor 汽车启动机268. backlash 啮合间隙,齿隙269. centrifugal force 离心力270. ceramic 陶瓷的271. compound-wound 复励272. constraint 强制,约束273. counter emf 反电势274. counterpart 对应物275. culminate 达到极值点276. cumulative compound 积复励277. demagnetization退磁,去磁278. denominator 分母279. differential compound 差复励280. dissipate 浪费281. equilibrium level 平均值282. equivalent circuit 等效电路283. figure of merit品质因数,优值284. flicker 闪烁,摇曳285. flux per pole 每极磁通286. friction 摩擦287. in parallel with 并联288. in series with 串联289. in terms of 根据,在……方面290. in the vicinity of 在…附近,在…左右291. indispensable 必需的,必不可少的292. inherent 固有的293. insulation 绝缘294. long-shunt 长复励295. loss 损耗296. magnetization curve 磁化曲线297. merit 优点,长处,指标298. no load 空载299. nonetheless,none the less 仍然,依然300. numerator 分子301. overload 过载302. permissible 允许的303. permanent-magnet永磁304. pertinent 有关的305. power flow diagram 功率流程图306. prefix 前缀,把…放在前面307. rated torque 额定转矩308. reaction 电感309. rheostat 变阻器,电阻箱310. series-wound 串励311. shunt-wound 并励312. short-shunt 短复励313. starting current 启动电流314. starting torque 启动转矩315. synchronous speed 同步转速316. theorem 定理317. turns 匝数318. undervoltage 欠电压319. Ward-Leonard system 发电机-电动机组系统320. windage 通风321. yield 产生,提供322. adjacent 相邻的,邻近的323. autotransformer自耦变压器324. braking 制动325. cam 凸轮326. chamber 室,腔327. conveyor 传送机328. corrosion 腐蚀329. counterclockwise 逆时针330. counter electromotive force ,CEMF反电势331. dashpot relay 油壶式继电器332. diaphragm 膜片,挡板333. drill 钻床334. elapse 过去,消逝335. enclosure 机壳336. expel 排出,放出337. fasten 固定,连接338. furnace 炉339. fuse 熔断器,保险丝340. general-purpose relay通用继电器341. hydraulic 液压传动342. initiate 引起,促进343. intake 吸入344. knob 旋钮 ,圆形把手345. latching relay 自锁继电器346. lathe 车床347. limit switch 限位开关348. moisture 潮气,湿度349. mount 安装350. octal-base 八脚的351. orifice 孔,注孔352. pedal 踏板,踏蹬353. phase sequence 相序354. piston 活塞355. pivot 轴,支点,旋转中心356. plunger 可动铁心,插棒式铁心357. pneumatic 气动的358. relay 继电器359. single-phase 单相的360. solenoids 螺线管361. solid-state relay 固态继电器362. spring 弹簧363. tap 抽头364. three-phase 三相365. timing relay 延时继电器366. toggle 搬扭,刀闸367. vibration 振动368. absolute encoder 绝对编码器369. accelerometer 加速度测量仪370. actuator 执行机构371. analog-to-digital conversion, ADC 模数转换器372. angular 角的373. auxiliary 辅助的374. as a rule of thumb 根据经验375. bellows 膜盒376. binary-coded decimal,BCD377. calibration 校准,标定,刻度378. cantilever 悬臂379. closed-loop 闭环■380. induction machine 感应式电机381. horseshoe magnet 马蹄形磁铁382. magnetic field 磁场383. eddy current 涡流384. right-hand rule 右手定则385. left-hand rule 左手定则386. slip 转差率387. induction motor 感应电动机388. rotating magnetic field 旋转磁场389. winding 绕组390. stator 定子391. rotor 转子392. induced current 感生电流393. time-phase 时间相位394. exciting voltage 励磁电压395. solt 槽396. lamination 叠片397. laminated core 叠片铁芯398. short-circuiting ring 短路环399. squirrel cage 鼠笼400. rotor core 转子铁芯401. cast-aluminum rotor铸铝转子402. bronze 青铜403. horsepower 马力404. random-wound 散绕405. insulation 绝缘406. ac motor 交流环电动机407. end ring 端环408. alloy 合金409. coil winding 线圈绕组410. form-wound 模绕411. performance characteristic 工作特性412. frequency 频率413. revolutions per minute 转/分414. motoring 电动机驱动415. generating 发电416. per-unit value 标么值417. breakdown torque 极限转矩418. breakaway force 起步阻力419. overhauling 检修420. wind-driven generator 风动发电机421. revolutions per second 转/秒422. number of poles 极数423. speed-torque curve 转速力矩特性曲线424. plugging 反向制动425. synchronous speed 同步转速426. percentage 百分数427. locked-rotor torque 锁定转子转矩428 . full-load torque 满载转矩429. prime mover 原动机430. inrush current 涌流431. magnetizing reacance 磁化电抗432. line-to-neutral 线与中性点间的433. staor winding 定子绕组434. leakage reactance 漏磁电抗435. no-load 空载436. full load 满载437. Polyphase 多相(的438. iron-loss 铁损439. complex impedance 复数阻抗440. rotor resistance 转子电阻441. leakage flux 漏磁通442. locked-rotor 锁定转子443. chopper circuit 斩波电路444. separately excited 他励的445. compounded 复励446. dc motor 直流电动机447. de machine 直流电机448. speed regulation 速度调节449. shunt 并励450. series 串励451. armature circuit 电枢电路452. optical fiber 光纤453. interoffice 局间的454. waveguide 波导波导管455. bandwidth 带宽456. light emitting diode 发光二极管457. silica 硅石二氧化硅458. regeneration 再生, 后反馈放大459. coaxial 共轴的,同轴的460. high-performance 高性能的461. carrier 载波462. mature 成熟的463. Single Side Band(SSB 单边带464. coupling capacitor 结合电容465. propagate 传导传播466. modulator 调制器467. demodulator 解调器468. line trap 限波器469. shunt 分路器470. Amplitude Modulation(AM调幅471. Frequency Shift Keying(FSK移频键控472. tuner 调谐器473. attenuate 衰减474. incident 入射的475. two-way configuration 二线制476. generator voltage 发电机电压477. dc generator 直流发电机478. polyphase rectifier 多相整流器479. boost 增压480. time constant 时间常数481. forward transfer function 正向传递函数482. error signal 误差信号483. regulator 调节器484. stabilizing transformer稳定变压器485. time delay 延时486. direct axis transient time constant 直轴瞬变时间常数487. time invariant 时不变的488. transient response 瞬态响应489. solid state 固体490. buck 补偿491. operational calculus 算符演算492. gain 增益493. pole 极点494. feedback signal 反馈信号495. dynamic response 动态响应496. voltage control system 电压控制系统497. mismatch 失配498. error detector 误差检测器499. excitation system 励磁系统500. field current 励磁电流501. transistor 晶体管502. high-gain 高增益503. boost-buck 升压去磁504. feedback system 反馈系统505. reactive power 无功功率506. feedback loop 反馈回路507. automatic Voltage regulator(AVR自动电压调整器508. third harmonic voltage 三次谐波电压509. reference Voltage 基准电压510. magnetic amplifier 磁放大器511. amplidyne 微场扩流发电机512. self-exciting 自励的513. limiter 限幅器514. manual control 手动控制515. block diagram 方框图516. linear zone 线性区517. potential transformer 电压互感器518. stabilization network 稳定网络519. stabilizer 稳定器520. air-gap flux 气隙磁通521. saturation effect 饱和效应522. saturation curve 饱和曲线523. flux linkage 磁链524. per unit value 标么值525. shunt field 并励磁场526. magnetic circuit 磁路527. load-saturation curve 负载饱和曲线528. air-gap line 气隙磁化线529. polyphase rectifier 多相整流器530. circuit components 电路元件531. circuit parameters 电路参数532. electrical device 电气设备533. electric energy 电能534. primary cell 原生电池535. energy converter 电能转换器536. conductor 导体537. heating appliance 电热器538. direct-current 直流539. self-inductor 自感540. mutual-inductor 互感541. the dielectric 电介质542. storage battery 蓄电池543. e.m.f = electromotive fore电动势544. unidirectional current 单方向性电流545. circuit diagram 电路图546. load characteristic 负载特性547. terminal voltage 端电压548. external characteristic外特性549. conductance 电导550. volt-ampere characteristics伏安特性551. carbon-filament lamp 碳丝灯泡552. ideal source 理想电源553. internal resistance 内阻554. active (passive circuit elements 有(无源电路元件555. deviation 偏差556. leakage current 漏电流557. circuit branch 支路558. P.D. = potential drop 电压降559. potential distribution 电位分布560. r.m.s values = root mean square values 均方根值561. permanent magnet 永磁体562. effective values 有效值563. steady direct current 恒稳直流电564. sinusoidal time function 正弦时间函数565. complex number 复数566. Cartesian coordinates 笛卡儿坐标系567. modulus 模568. real part 实部569. imaginary part 虚部570. displacement current 位移电流571. trigonometric transformations 瞬时值572. epoch angle 初相角573. phase displacement 相位差574. signal amplifier 小信号放大器575. mid-frequency band 中频带576. bipolar junction transistor(BJT双极性晶体管577. field effect transisto r(FET场效应管578. electrode 电极电焊条579. polarity 极性580. gain 增益581. isolation 隔离分离绝缘隔振582. emitter 发射管放射器发射极583. collector 集电极584. base 基极585. self-bias resistor 自偏置电阻586. triangular symbol 三角符号587. phase reversal 反相588. infinite voltage gain 无穷大电压增益589. feedback component 反馈元件590. differentiation 微分591. integration 积分下限592. impedance 阻抗593. fidelity 保真度594. summing circuit总和线路反馈系统中的比较环节595. pneumatic 气动的596. Oscillation 振荡597. inverse 倒数598. admittance 导纳599. transformer 变压器600. turns ratio 变比匝比601. ampere-turns 安匝(数602. mutual flux 交互(主磁通603. vector equation 向(相量方程604. power frequency 工频605. capacitance effect 电容效应606. induction machine 感应电机607. shunt excited 并励608. series excited 串励609. separately excited 他励610. self excited 自励611. field winding 磁场绕组励磁绕组612. speed-torque characteristic 速度转矩特性613. dynamic-state operation动态运行614. salient poles 凸极615. excited by 励磁616. field coils 励磁线圈617. air-gap flux distribution 气隙磁通分布618. direct axis 直轴619. armature coil 电枢线圈620. rotating commutator 旋转(整流子换向器621. commutator-brush combination换向器-电刷总线622. mechanical rectifier 机械式整流器623. armature m.m.f. wave 电枢磁势波624. Geometrical position 几何位置625. magnetic torque 电磁转矩626. spatial waveform 空间波形627. sinusoidal–density wave正弦磁密度628. external armature circuit 电枢外电路629. instantaneous electric power瞬时电功率630. instantaneous mechanical power 瞬时机械功率631. effects of saturation 饱和效应632. reluctance 磁阻633. power amplifier 功率放大器634. compound generator 复励发电机635. rheostat 变阻器636. self – excitation process 自励过程637. commutation condition 换向状况638. cumulatively compounded motor 积复励电动机639. operating condition 运行状态640. equivalent T – circuit T型等值电路641. rotor (stator winding 转子(定子绕组642. winding loss 绕组(铜损耗643. prime motor 原动机644. active component 有功分量645. reactive component 无功分量646. electromagnetic torque 电磁转矩647. r etarding torque 制动转矩648. inductive component 感性(无功分量649. abscissa axis 横坐标650. induction generator 感应发电机651. synchronous generator 同步发电机652. automatic station 无人值守电站653. hydropower station 水电站654. process of self – excitation 自励过程655. auxiliary motor 辅助电动机656. technical specifications 技术条件657. voltage across the terminals 端电压658. steady – state condition瞬态暂态659. reactive in respect to 相对….呈感性660. active in respect to 相对….呈阻性661. synchronous condenser 同步进相(调相机662. coincide in phase with 与….同相663. synchronous reactance 同步电抗664. algebraic 代数的665. algorithmic 算法的666. biphase 双相的667. bilateral circuit 双向电路668. bimotored 双马达的669. corridor 通路670. shunt displacement current 旁路位移电流671. leakage 泄漏672. lightning shielding 避雷673. harmonic 谐波的674. insulator string 绝缘子串675. neutral 中性的676. zero sequence current 零序电流677. sinusoidal 正弦的678. square 平方679. corona 电晕,放电680. bypass 旁路681. voltmeter 电压表682. ammeter 电流表683. micrometer 千分尺684. thermometer 温度计685. watt-hour meter 电度表686. wattmeter 电力表687. private line 专用线路688. diameter 直径689. centimeter 厘米690. restriking 电弧再触发691. magnitude 振幅692. oscillation 振荡693. auxiliary 辅助的694. protective gap 保护性间隙放电695. receptacle 插座696. lightning arrester 避雷装置697. bushing 套管698. trigger 起动装置699. stress 应力700. deterioration 损坏,磨损701. spark gap 火花放电隙702. traveling-wave 行波703. wye-connected 星形连接704. enclosure 设备外壳705. live conductor 带电导体706. fuse 熔断器707. structural 结构上的708. out-of-step 不同步的709. resynchronize 再同步710. synchroscops 同步指示器711. automatic oscillograph 自动示波器712. nominally 标称713. sampling 采样714. potential transformer 电压互感器715. fraction 分数716. switchyard 户外配电装置717. hazard 危险718. bushing 高压套719. contact 触点720. energize 励磁721. trip coil 跳闸线圈722. over-current relay 过电流继电器723. armature 衔铁724. pickup current 始动电流725. release current 释放电流726. solenoid relay 螺管式继电器727. induction-disc relay 感应圆盘式继电器728. inverse time relay 反时限继电器729. hydraulic 液力的730. dashpot 阻尼器733. electrical stressing 电气应力734. mechanical stressing 机械应力■735. crystal 晶体的,水晶,晶体736. demodulation 解调737. derivative 导数738. diaphragm 膜片739. differentiation 微分740. discrete 离散的741. displacement 位移742. eddy 涡流743. encoder 编码器744. error 误差,偏差745. expedite 加速746. feedback 反馈747. feedforward 前馈748. forging 锻造749. hysteresis 磁滞750. immunity 抗扰性751. impedance 阻抗752. increment encoder 增量编码器753. inertia 惯性754. integration 积分755. interface 接口756. jerk 振动,冲击757. kinematic 运动的,运动学的758. longitudinal 经度了;纵向的759. manipulations 操作,控制,处理760. manipulator 机械手,操作器761. measurand 被测量,被测量对象762. modulation 调制763. multiplexer 多路转换器764. offset 偏心765. open-loop 开环766. orthogonal 垂直的,正交的767. perpendicular 垂直的,正交的768. photosensor 光电传感器769. piezoelectric 压电的770. plant 装置,设备771. potentiometer 电位器772. predominant 主要的,突出的773. prismatic 棱型的774. proximity 距离775. quantization 量化776. radial 径向的777. redundant 多余的,重复的778. representation 代表,表示779. resolver 解算器780. resonance 共振781. revolute 旋转的,转动的782. rig 设备783. robustness 鲁棒性784. rolling 轧制785. sampling period 采样周期786. signal-to-noise ration ,SNR信噪比787. strategy 策略788. subsequently 其后789. tachometer 测速仪790. terminology 术语,专门名词791. threshold 门,界限,阈值792. trajectory 轨迹793. transducer 传感器794. transient 瞬态的795. transistor-to-transistor logic,TTL 晶体管-晶体管逻辑796. transit 运输797. translatory 平移的798. algorithm 算法799. ambiguity 模棱两可800. antenna 天线801. arbitration 仲裁,公断802. autonomous 匿名的803. capacity 容量804. chao 混乱805. checksum 检查和806. circumnavigate 饶过807. client-server 客户-服务器808. client-server model 客户服务器模型809. corridor 通道,走廊810. decouple 解耦,1. Personnel 人员职员2. Voltmeter 电压表伏特计3. Ohmmeter 欧姆计电阻表4. Megohmmeter 兆欧表5. Wattmeter 瓦特计电表功率6. Watt-hour 瓦时瓦特小时7. Ammeter 安培计电流表8. calibrate 校正9. scale 刻度量程10. rated 额定的11. interfere with 有害于。
铝电解电容器专业词汇有机介质电容器organic dielectric capacitora.薄膜电容器film capacitor比较精密,耐高温高压,用在音箱上。
无机介质电容器mineral condensera.云母电容mica capacitorb.陶瓷电容ceramic capacitor综合性能好,价格贵。
可以应用GHz级别的超高频器件上,比如CPU/GPU。
双电层电容器double electric layer capacitor电容特别大,可达到几百f,UPS的电池用,作用是储存电能。
电解电容器electrolytic capacitor特点:单位体积的电容量大;额定的容量可以做到非常大;成本相对比较低铌电解电容器niobium electrolytic capacitor铝电解电容器aluminium electrolytic capacitorAdvantages of Al/Polymer capacitor1.Low ESR at high frequency(100k~300kHz)2.High ripple current endurance3.Super long Life4.High thermal stabilitySuper long Life Life evaluation of Al capacitorLiquid type10℃reduced 2 times longerPolymer type20℃reduced 10 times longerLx=Life expectance(Hrs) in actual useLo=Guaranteed(Hrs) at maximum temperature in useTo=Maximum operating temperatureTa=Temperature in actual useCustomized(1)—WeldingMerits : Full Automatic, Touch panel control, Welding preciselyCustomized(2)—FormationMerits : Auto load/unloading, Fully automatic, Touch panel controlCustomized(3)—ImpregnationMerits : Auto load/unloading, Touch panel control, Injection preciselyCustomized(4)—PolymerizationMerits : IR Conveyor oven, Temp. control preciselyKey parameters of lifeAmbient TemperatureSelf heat rise caused by the Ripple CurrentMechanism of lifetimeElectrolyte vaporization [,veipərai'zeiʃən] due to high temperature 高温会导致电解液的蒸发Gassing due to chemical reaction between electrolyte and oxide由于电解液和氧化膜之间会发生化学反应,这就导致了气体的产生Gassing due to electrolyte decomposition 电解液的分解也会导致气体的产生Gassing气体的产生-- INNER PRESURE RISE电容器内部压力的上升--Diffusion through sealing rubber电解液会从密封皮头扩散出来--Element dry-up芯包变干-- Open circuit 开路会产生Thermocouple热电耦SMT贴片工艺安装,需要波峰焊(wave soldering)工艺处理,电容经过高温之后可能会影响性能,尤其是阴极采用电解液的电容,经过高温后电解液可能会干枯。
在性能方面,插件式电容对频Pasting foil patentAishi结构可使电解在工作状态下电场强度较平均,预防负极闪火、提高电解耐纹波电流及抗毛剌电压能力,从而延长产品寿命。
Make the capacitor work in balanced electric field, prevent the cathode sparking, improve the withstand ripple current and resistance to over-voltage/sudden voltage, so that to extent the endurance.二氧化锰manganese dioxide[mæŋɡə'ni:z dai'ɔksaid]五氧化二钽tantalum pentoxide ['tæntələm] [pen'tɔksaid]去离子水deionized water氯离子chloridion ['klɔ:raidiɔn]铁离子iron ion/ferric ion铜离子copper ion硫酸根离子sulfate ['sʌlfeit] ion氢hydrogen 氢键hydrogen bond液氨liquid ammonia已二酸铵ammonium adipate ['ædəpeit]硼酸boric acid五硼酸铵ammonium pentaborate聚乙烯塑料薄膜polyethylene [,pɔli:'eθə,li:n] plastic film干燥剂desiccant ['desikənt]添加剂additive标准液standard liquid助焊剂soldering flux酸碱性alkalinity or acidity [,ælkə'linəti] [ə'sidəti]离子导电ionic conduction电子导电electron conduction电器名称逆变器inverter变频器frequency converter整流器rectifier镇流器ballast实验仪器指示针厚度计pachymeter ['pækimitə]电导率仪conductivity meter高温烤箱electrical drying oven影像测试仪image measuring instrument引线拉力试验仪tensile strength tester防爆测试仪safety vent tester折弯测试仪bending instrument漏电流测试仪leakage current tester电容测试仪capacitance meter电子计重秤electronic weight scale橡胶硬度计rubber durometer耐压测试仪withstand voltage meterX光分析仪X-ray analyzer电子恒温水浴锅digital electronic constant temperature water-bath 千分尺digimatic micrometer管厚规pipe gauge离子色谱仪ion chromatograph恒温恒湿箱constant temperature & humidity chamber高低温冲击箱thermal shock tester高低温箱L/H temperature chamberLCR测试仪LCR meter浪涌冲击实验surge tester数字示波器digital oscilloscope数据采集器data acquisition unit水分含量分析仪moisture content analyzer可焊性solderability tester纹波电源ripple current power supply铆接电阻contact resistance铆接厚度stitching thickness实验名称防爆实验Safety vent test拉力试验Tensile strength test可焊性实验Solderability test振动试验Resistance to vibration高低温试验H/L shelf life test高低温冲击试验Thermal shock test稳态湿热实验Resistance to damp heat test漏电流测试leakage current test节能灯参数分析Fluorescent lamp parameter analysis水分含量测试moisture content test耐久性endurance test有害物质检测hazardous substance inspection低温储存实验low temperature shelf life test纹波温升试验temperature rise with ripple current包装编带包装ammo pack/taping pack填充材料infilling material/sparing material无氯纸chlorine free paper聚氯乙烯polyvinyl chloride [,pɔli'vainil] ['klɔ:raid]A capacitor or condenser is a passive electronic component consisting of a pair of conductors separated by a dielectric.Solid type of conductive polymer capacitor consist of :(1)Large area of porous surface of valve metal as Anode(2)Metal oxide film formed on the valve metal(3)Conductive polymer formed on the oxide film as cathode电解质dielectric复层薄膜composite film电解电容器阴极材料Conductivity of different cathode materialAl/Polymer ManufacturerFoilslitting—stitching&winding—formation—carbonization—filling&polymerization—assemblyaging----sorting—marking--packaging防爆实验Safety vent test拉力试验Tensile strength test可焊性实验Solderability test振动试验Resistance to vibration高低温试验H/L shelf life test高低温冲击试验Thermal shock test稳态湿热实验Resistance to damp heat test铝梗aluminum peduncle铝粉aluminum powder无尘室clean room/dust free room风淋室leaching room/air shower['ʃauə]金属粉末metal powder保护器具personal safety equipment化学警示标chemical warning sign自流灯LED等self-ballasted LED-lamp灯头温升cap temperature rise带电部件live part型式试验type test型式验样品type test sample合格标准eligibility criteria固态照明灯具Solid State Lighting Luminaire (SSL)内嵌筒灯Recessed downlights室外门厅灯Outdoor wall-mounted porch lights室外台阶灯Outdoor step lights室外路灯Outdoor pathway lights有效日期Effective date一般照明general illumination电力网络electric power grid相关色温Correlated color temperature (CCT)Color Spatial Uniformity 颜色空间均匀度Color Maintenance 颜色维护Off-state Power 闭状态功率显示指数Color Rendering Index (CRI)色品、染色性chromaticity节能灯the fluorescent lamp产品外观凸顶防爆阀打开或未打开top bulged, and the safety vent opened or not 栅极电压grid voltage。