当前位置:文档之家› 学生实验单片机

学生实验单片机

学生实验单片机
学生实验单片机

一、系统概述

微处理:8051

时钟频率:6MHz

存储器:

0000~2FFFH:监控程序存储区,用户不可用

4000H~5FFFH:用户实验程序区,供用户下载实验

6000H~7FFFH:用户数据存储区,供用户实验程序用

CFE0H:PC串行通讯芯片8250

CFE8H:显示、键盘芯片8279

1.程序起始地址:4000H

2.中断程序地址:原地址+4000H

3.部分用户可用地址:

CS0 :地址CFA0H~CFA7H

CS1 :地址CFA8H~CFAFH

CS2 :地址CFB0H~CFB7H

CS3 :地址CFB8H~CFBFH

CS4 :地址CFC0H~CFC7H

CS5 :地址CFC8H~CFCFH

LCS0~LCS7 :地址CFD0H~FFFFH

程序格式:

CSEG AT 0000H

LJMP START

CSEG AT 4100H

START: ……

……

JMP START ;循环

END

P1口的应用:P1口为准双向口,P1口的每一位都能独立地定义为输入位或输出位。作为输入位时,必须向锁存器相应位写入“1”,该位才能作为

输入。8031中所有口锁存器在复位时均置为“1”,如果后来在口锁存器写过“0”,在需要时应写入一个“1”,使它成为一个输入。

二、建议(以后想从事嵌入式单片机方向的同学):不做下列实验

8279显示接口实验(8279是数码管键盘综合接口芯片,需要查8279的资料)

8279键盘显示接口实验

LCD显示实验

实验一输入输出口实验

一、实验目的

1.熟悉MCS51的开发环境,掌握Debug调试工具栏的使用;

2.掌握并口作输入输出口的使用方法;

3.掌握实验仪器的使用。

二、实验设备

1.计算机、EL-MUT-III型实验箱、8051CPU模块

2.8051单机版软件

三、实验内容

1.以P1口作输出口,设计循环点亮八个发光二极管的程序1;

2.单步调试程序一,体会Debug中step、step in、step out、run的功能,使发光二极管的亮灭受程序的控制。

3.设计P1口低四位为输入口、高四位为输出口,设计程序2,使得4个开关K1~K4分别可以控制4个LED小灯L1~L4。

四、实验原理

P1口为准双向口,P1的每一位都能独立地定义为输入口或输出口。作为输入位时,需先向其写入“1”,才能使它成为一个输入口。

学生自己画和实验内容程序1和程序2对应的电路简图,并进行对应的文字说明。

五、实验步骤

1.程序1:对P1口和发光二极管进行按位连线,编写程序;

2.调试程序1,体会step、run的功能.

3.程序2:P1.0~P1.3连开关K1~K4,P1.4~P1.7连LED小灯L1~L4;

4.调试程序2,熟练Debug的使用。

六、程序流程图

学生写

七、源程序

学生写

八、总结

学生写:实验过程及结果描述和体会。

实验二简单I/O口扩展实验

一、实验目的

1.学习在单片机系统中扩展简单I/O口的方法;

2.学习数据输入、输出程序的编制方法。

二、实验设备

1.计算机、EL-MUT-III型实验箱、8051CPU模块

2.8051单机版软件

三、实验内容及步骤

1.查阅74LS244、74LS273的电气特性;

2.74LS244的IN0~IN7接开关的K1~K8,片选信号CS244接CS1;74LS273的O0~O7接发光二极管的L1~L8,片选信号CS273接CS2。

3.设计程序,使发光二极管的L1~L8分别受开关K1~K8的控制;

4.单步调试程序,观察实验结果;全速运行程序,观察实验结果。

四、实验原理

MCS-51外部扩展空间很大,但数据总线口和控制信号线的负载能力是有限的。若需要扩展的芯片较多,则MCS-51总线口的负载过重,故需要74LS244、74LS273进行扩展,以减轻总线口的负担。

学生自己画出电路简图,并进行描述。

五、程序流程图

学生写

六、源程序

学生写

七、总结

学生写:实验过程及结果描述和体会。

一、实验目的

1.掌握在单片机系统中扩展简单I/O接口的方法

2.掌握外部中断技术的基本使用方法

3.掌握中断处理程序的编程方法

二、实验设备

1.计算机、EL-MUT-III型实验箱、8051CPU模块

2.8051单机版软件

三、实验内容及步骤

1.正确连接实验箱和计算机,并使实验箱受计算机的控制

2.连接74LS273的输出00~07到发光二极管L1~L8,74LS273的片选CS273接片选信号CS2,单脉冲输出端P-接CPU板上的INT0,以单脉冲为中断申请,触发中断;

3.编写程序,主程序控制发光二极管L1~L4循环轮流闪烁,即同一时刻只有一个LED灯亮。中断服务程序控制L5~L8同时亮灭闪烁。

四、实验原理

注意中断服务程序被执行的方式及中断前后关键寄存器的保护及恢复,思考中断服务程序是否可以和主程序共用同一个延时子程序,如果想共用,应该注意点什么。

学生自己画出电路简图,并进行描述。

五、程序流程图

学生写

六、源程序

学生写

七、总结

学生写:实验过程及结果描述和体会。

一、实验目的

1.学习8051内部定时器计数器的使用和编程方法

2.进一步掌握中断处理程序的编写方法

3.掌握用定时器/计数器准确定时的方法

二、实验设备

1.计算机、EL-MUT-III型实验箱、8051CPU模块

2.8051单机版软件

三、实验内容及步骤

1.正确连接实验箱和计算机,并使实验箱受计算机的控制

2.P1.0~P1.7分别接发光二极管L1~L8

3.编写程序,发光二极管L1~L4循环轮流闪烁,即同一时刻只有一个LED 灯亮,间隔时间为1秒。L5~L8同时亮灭闪烁,间隔时间为4秒。(提示:T0控制L1~L4的定时时间,T1控制L5~L8的定时时间。

四、实验原理

1、定时常数的确定。定时器/计数器的输入脉冲周期与机器周期一样,为振荡频率的1/12。本实验中时钟频率为6.0 MHZ,现要采用中断方法来实现0.1秒延时,要在定时器1中设置一个时间常数,使其每隔0.1秒产生一次中断,CPU 响应中断后将R0中计数值减一,令R0=10,即可实现1秒延时。

2、初始化程序。包括定时器初始化和中断系统初始化,主要是对IP、IE、TCON、TMOD的相应位进行正确的设置,并将时间常数送入定时器中。由于只有定时器中断,IP便不必设置。

3、设计中断服务程序和主程序。中断服务程序除了要完成计数减一工作外,还要将时间常数重新送入定时器中,为下一次中断做准备。主程序则用来控制发光二极管按要求顺序燃灭。

学生自己画出电路简图,并进行描述。

五、程序流程图

学生写

六、源程序

学生写

七、总结

学生写:实验过程及结果描述和体会。

一、实验目的

1.掌握串行口的工作方式及编程方法

2.掌握单片机通讯程序的编写

3.了解串行通讯的硬件环境,数据格式及数据交换的协议

二、实验设备

1.计算机、EL-MUT-III型实验箱、8051CPU模块

2.8051单机版软件

三、实验内容及步骤

1.将P1.0~P1.7分别接发光二极管L1~L8;甲机的CPU板上的TXD接乙机的RXD,甲机的RXD接乙机的TXD,甲机的GND接乙机的GND

2.编写程序:甲机负责循环发送数字0~255,乙机负责接收,并在两个实验箱的LED小灯上显示出来。

3.编写程序2:自发自收数字0~255,接收成功后,把接收到的数据在LED 小灯上显示出来。

四、实验原理

1、MCS-51单片机串行通讯的波特率随串行口工作方式选择的不同而不同,它除了与系统的振荡频率f,电源控制寄存器PCON的SMOD位有关外,还与定时器T1的设置有关。编程时应注意两点:一是串口初始化,设置波特率和数据格式,二是确定数据传送方式。数据传送方式有两种:查询方式和中断方式。可先用查询方式,后用中断方式。

学生自己画出电路简图,并进行描述。

五、程序流程图

学生写

六、源程序

学生写

七、总结

学生写:实验过程及结果描述和体会。

实验六(一)存储器扩展实验

一、实验目的

1.掌握PC存储器扩展的方法

2.熟悉62256芯片的接口方法

二、实验设备

1.计算机、EL-MUT-III型实验箱、8051CPU模块

2.8051单机版软件

三、实验内容及步骤

1.正确连接实验箱和计算机,并使实验箱受计算机的控制

2.编写程序:向外部存储器的7000H到8000H循环输入00~0FFH。设置断点,打开外部数据存储器观察窗口,设置外部存储器的窗口地址为7000H~

7FFFH。全速运行程序,当程序运行到断点时,观察7000H~7FFFH的内容是否正确。

四、实验原理

实验系统上的两片6264的地址范围分别为:3000H~3FFFH,4000H~7FFFH,既可作为实验程序区,也可作为实验数据区。62256的所有信号均已连好。

学生自己画出电路简图,并进行描述。

五、程序流程图

学生写

六、源程序

学生写

七、总结

学生写:实验过程及结果描述和体会。

实验六(二)8255A可编程并行接口实验

一、实验目的

1.了解8255A芯片的结构及编程方法。

2.掌握通过8255A并行口读取开关数据的方法

二、实验设备

1.计算机、EL-MUT-III型实验箱、8051CPU模块

2.8051单机版软件

三、实验内容及步骤

1.正确连接实验箱和计算机,并使实验箱受计算机的控制

2.8255A的PA0~PA7接发光二极管L1~L8;PB0~PB7接开关K1~K8;片选信号8255CS接CS0

3.编写程序:读取开关状态,并将此状态通过发光二极管显示出来

4.全速运行程序,拨动开关K1~K8,观察发光二极管状态的变化

四、实验原理

设置好8255A各端口的工作模式。实验中应当使三个端口都工作于方式0,并使A口为输出口,B口为输入口。

学生自己画出电路简图,并进行描述。

五、程序流程图

学生写

六、源程序

学生写

七、总结

学生写:实验过程及结果描述和体会。

实验七数码显示实验

一、实验目的

1.进一步掌握定时器的使用和编程方法

2.了解七段数码显示数字的原理

3.掌握用一个段锁存器,一个位锁存器同时显示多位数字的技术

二、实验设备

1.计算机、EL-MUT-III型实验箱、8051CPU模块

2.8051单机版软件

三、实验内容及步骤

1.正确连接实验箱和计算机,并使实验箱受计算机的控制

2.将P1口的P1.0~P1.5与数码管的输入LED6~LED1相连,74LS273的00~07与LEDA~LEDP相连,片选信号CS273与CS0相连,去掉短路子连接。

3.编写程序,利用定时器1定时中断,控制电子钟走时,使实验箱上的各数码管显示分、秒,格式为:××分××秒,每一秒显示一次,按时钟规律显示。

四、实验原理

本试验采用动态显示。动态显示就是一位一位地轮流点亮显示器的各个位(扫描)。将8031CPU的P1口当作一个锁存器使用,74LS273作为段锁存器。

学生自己画出电路简图,并进行描述。

五、程序流程图

学生写

六、源程序

学生写

七、总结

学生写:实验过程及结果描述和体会。

一、实验目的

1.掌握A/D转换与单片机的接口方法

2.了解A/D芯片ADC0809转换性能及编程方法

3.通过实验了解单片机如何进行数据采集

二、实验设备

1.计算机、EL-MUT-III型实验箱、8051CPU模块、万用表

2.8051单机版软件

三、实验内容及步骤

1.正确连接实验箱和计算机,并使实验箱受计算机的控制

2.0809的片选信号CS0809接CS0;电位器的输出信号AN0接0809的ADIN0;EOC接CPU板的INT0

3.利用实验台上的ADC0809做A/D转换器,实验箱上的电位器提供模拟电压信号输入,编写程序,将模拟量转换成数字量,用数码管显示模拟量转换的结果,并用万用表测试模拟电压,对测试的模拟电压和转换的数字量进行比较,分析精度。

四、实验原理

实验用的ADC0809是八位A/D转换器。每采集一次需100us。ADC0809 START 端为A/D转换启动信号,ALE端为通道选择地址的锁存信号。实验电路中将其相连,以便同时锁存通道地址并开始A/D采样转换,故启动A/D转换只需如下两条指令:

MOV DPTR,#PORT

MOVX @DPTR,A

在中断方式下,A/D转换结束后会自动产生EOC信号,将其与8051CPU板上的INT0相连接。在中断处理程序中,使用如下指令即可读取A/D转换的结果: MOV DPTR,#PORT

MOVX A,@DPTR

学生自己画出电路简图,并进行描述。

五、程序流程图

学生写

六、源程序

学生写

七、总结

学生写:至少记录五组数据,分析相对误差和绝对误差。

一、实验目的

1.了解D/A转换的基本原理

2.了解D/A转换芯片0832的性能及编程方法

3.了解单片机系统中扩展D/A转换的基本方法

二、实验设备

1.计算机、EL-MUT-III型实验箱、8051CPU模块、示波器

2.8051单机版软件

三、实验内容及步骤

1.正确连接实验箱和计算机,并使实验箱受计算机的控制

2.DAC0832的片选CS0832接CS0,输出端OUT接示波器探头,将短路端子DS的1、2短路

3.利用DAC0832,编写程序产生锯齿波、三角波、正弦波,三种波形轮流显示,并把显示结果输出到示波器上

四、实验原理

D/A转换是把数字量转换成模拟量的变换,从D/A输出的是模拟电压信号。产生锯齿波和三角波只需由A存放的数字量的增减来控制;要产生正弦波,较简单的手段是造一张正弦数字量表。取值范围为一个周期,采样点越多,精度就越高。

本实验中,输入寄存器占偶地址端口,DAC寄存器占较高的奇地址端口。两个寄存器均对数据独立进行锁存。因而要把一个数据通过0832输出,要经两次锁存。典型程序段如下:

MOV DPTR,#PORT

MOV A,#DATA

MOVX @DPTR,A

INC DPTR

MOVX @DPTR,A

其中第二次I/O写是一个虚拟写过程,其目的只是产生一个WR信号。启动D/A。

学生自己画出电路简图,并进行描述。

五、程序流程图

学生写

六、源程序

学生写

七、总结

学生写:实验过程及结果描述和体会。

单片机实验报告

院系:计算机科学学院专业:智能科学与技术年级: 2012 学号:2012213865 姓名:冉靖 指导教师:王文涛 2014年 6月1日

一. 以下是端口的各个寄存器的使用方式: 1.方向寄存器:PxDIR:Bit=1,输出模式;Bit=0,输入模式。 2.输入寄存器:PxIN,Bit=1,输入高电平;Bit=0,输入低电平。 3.输出寄存器:PxOUT,Bit=1,输出高电平;Bit=0,输出低电平。 4.上下拉电阻使能寄存器:PxREN,Bit=1,使能;Bit=0,禁用。 5.功能选择寄存器:PxSEL,Bit=0,选择为I/O端口;Bit=1,选择为外设功能。6.驱动强度寄存器:PxDS,Bit=0,低驱动强度;Bit=1,高驱动强度。 7.中断使能寄存器:PxIE,Bit=1,允许中断;Bit=0,禁止中断。 8.中断触发沿寄存器:PxIES,Bit=1,下降沿置位,Bit=0:上升沿置位。 9.中断标志寄存器:PxIFG,Bit=0:没有中断请求;Bit=1:有中断请求。 二.实验相关电路图: 1 MSP430F6638 P4 口功能框图: 主板上右下角S1~S5按键与MSP430F6638 P4.0~P4.4口连接: 2按键模块原理图: 我们需要设置两个相关的寄存器:P4OUT和P4DIR。其中P4DIR为方向寄存器,P4OUT 为数据输出寄存器。 主板上右下角LED1~LED5指示灯与MSP430F6638 P4.5~P4.7、P5.7、P8.0连接:

3 LED指示灯模块原理图: P4IN和P4OUT分别是输入数据和输出数据寄存器,PDIR为方向寄存器,P4REN 为使能寄存器: #define P4IN (PBIN_H) /* Port 4 Input */ #define P4OUT (PBOUT_H) /* Port 4 Output */ #define P4DIR(PBDIR_H) /* Port 4 Direction */ #define P4REN (PBREN_H) /* Port 4 Resistor Enable */ 三实验分析 1 编程思路: 关闭看门狗定时器后,对P4.0 的输出方式、输出模式和使能方式初始化,然后进行查询判断,最后对P4.0 的电平高低分别作处理来控制LED 灯。 程序流程图: 2 关键代码分析: #include void main(void) { WDTCTL = WDTPW + WDTHOLD; // 关闭看门狗 P4DIR |= BIT5; // 设置4.5口为输出模式 P4OUT |= BIT0; // 选中P4.0为输出方式 P4REN |= BIT0; // P4.0使能 while (1) // Test P1.4 { if (P4IN & BIT0) //如果P4.0为1则执行,这是查询方式按下去后是低,否则为高

单片机原理与应用课程实验教案

《单片机原理与应用》实验教案 第一章实验安排 共8个实验,要求8次上机完成。这8个实验分别为: 实验一利用软件仿真器调试算术运算程序 实验二 INT0中断实验 实验三定时器/计数器定时实验 实验四定时器/计数器计数实验 实验五定时器/计数器T0扩展外部中断源实验 实验六串行口扩展实验 实验七 DAC0832数模转换实验 实验八 8155接口芯片使用实验 其中前六个实验为验证性实验,第七个实验为设计性实验。最后一个为综合性实验。每个实验3学时。 第二章实验须知 一、预习要求 1、实验前认真阅读实验要求,明确实验目的和实验任务。 2、拟订实验步骤,编好上机程序。 二、报告要求 共八个实验,每个实验完成后交实验报告,写在实验报

告纸上,报告中应包含以下内容: 1、实验名称、实验人姓名、学号、班级 2、实验目的、任务(内容); 3、实验步骤 记录主要实验过程。 4、实验结果 (1)记录实验现象; (2)要求写出已调试通过的实验程序清单(加适量注释) 第三章实验项目及内容 实验一利用软件仿真器调试算术运算程序1.目的要求 (1)熟悉WA VE调试软件的使用。 (2)熟悉算术运算程序编程和调试的方法。 2.实验内容 (1)有6个数据分别放在片内RAM区50H~55H单元中,试求和,并将结果放在片内RAM区03H(高位),04H(低位)单元中。 (2)编程将内部RAM70H~7FH中的16个数据按从小到大的顺序重新排序。 3.主要仪器设备 PC机一台。

4.程序清单 (1)数据和.ASM ORG 0000H LJMP MAIN ORG 1000H MAIN:MOV R2,#06H MOV R3,#00H MOV R4,#00H MOV R0,#50H L1:MOV A,R4 ADD A,@R0 MOV R4,A INC R0 CLR A ADDC A,R3 MOV R3,A DJNZ R2,L1 END (2)数据排序.ASM ORG 0000H LJMP MAIN

单片机原理及应用习题答案 第三版

第一章习题参考答案 1-1:何谓单片机?与通用微机相比,两者在结构上有何异同? 答:将构成计算机的基本单元电路如微处理器(CPU)、存储器、I/O接口电路与相应实时控制器件等电路集成在一块芯片上,称其为单片微型计算机,简称单片机。 单片机与通用微机相比在结构上的异同: (1)两者都有CPU,但通用微机的CPU主要面向数据处理,其发展主要围绕数据处理功能、计算速度与精度的进一步提高。例如,现今微机的CPU都支持浮点运算,采用流水线作业,并行处理、多级高速缓冲(Cache)技术等。CPU的主频达到数百兆赫兹(MHz),字长普遍达到32位。单片机主要面向控制,控制中的数据类型及数据处理相对简单,所以单片机的数据处理功能比通用微机相对要弱一些,计算速度与精度也相对要低一些。例如,现在的单片机产品的CPU 大多不支持浮点运算,CPU还采用串行工作方式,其振荡频率大多在百兆赫兹范围内;在一些简单应用系统中采用4位字长的CPU,在中、小规模应用场合广泛采用8位字长单片机,在一些复杂的中、大规模的应用系统中才采用16位字长单片机,32位单片机产品目前应用得还不多。 (2) 两者都有存储器,但通用微机中存储器组织结构主要针对增大存储容量与CPU对数据的存取速度。现今微机的内存容量达到了数百兆字节(MB),存储体系采用多体、并读技术与段、页等多种管理模式。单片机中存储器的组织结构比较简单,存储器芯片直接挂接在单片机的总线上,CPU对存储器的读写按直接物理地址来寻址存储器单元,存储器的寻址空间一般都为64 KB。 (3) 两者都有I/O接口,但通用微机中I/O接口主要考虑标准外设(如CRT、标准键盘、鼠标、打印机、硬盘、光盘等)。用户通过标准总线连接外设,能达到即插即用。单片机应用系统的外设都就是非标准的,且千差万别,种类很多。单片机的I/O接口实际上就是向用户提供的与外设连接的物理界面。用户对外设的连接要设计具体的接口电路,需有熟练的接口电路设计技术。 另外,单片机的微处理器(CPU)、存储器、I/O接口电路集成在一块芯片上,而通用微机的微处理器(CPU)、存储器、I/O接口电路一般都就是独立的芯片 1-4 IAP、ISP的含义就是什么? ISP:In System Programable,即在系统编程。用户可以通过下载线以特定的硬件时序在线编程(到单片机内部集成的FLASH上),但用户程序自身不可以对内部存储器做修改。 IAP:In Application Programable,即在应用编程。用户可以通过下载线对单片机进行在线编程,用户程序也可以自己对内部存储器重新修改。 1-6 51单片机与通用微机相比,结构上有哪些主要特点? (1)单片机的程序存储器与数据存储器就是严格区分的,前者为ROM,后者为RAM; (2)采用面向控制的指令系统,位处理能力强; (3)I/O引脚通常就是多功能的; (4) 产品系列齐全,功能扩展性强; (5) 功能就是通用的,像一般微处理机那样可广泛地应用在各个方面。 1-7 51单片机有哪些主要系列产品? (1)Intel公司的MCS-51系列单片机:功能比较强、价格比较低、较早应用的单片机。 此系列三种基本产品就是:8031/8051/8751; (2)ATMEL公司的89系列单片机:内含Flash存储器,开发过程中可以容易地进行程

单片机35个实例1(汇编)

1.闪烁灯 1.实验任务 如图4.1.1所示:在P1.0端口上接一个发光二极管L1,使L1在不停地一亮一灭,一亮一灭的时间间隔为0.2秒。 2.电路原理图 图4.1.1 3.系统板上硬件连线 把“单片机系统”区域中的P1.0端口用导线连接到“八路发光二极管指示模块”区域中的L1端口上。

4.程序设计内容 (1).延时程序的设计方法 作为单片机的指令的执行的时间是很短,数量大微秒级,因此, 我们要求的闪烁时间间隔为0.2秒,相对于微秒来说,相差太 大,所以我们在执行某一指令时,插入延时程序,来达到我们 的要求,但这样的延时程序是如何设计呢?下面具体介绍其原 理: 如图4.1.1所示的石英晶体为12MHz,因此,1个机器周期为1微秒 机器周期微秒 MOV R6,#20 2个 2 D1: MOV R7,#248 2个 2 2+2×248 =498 20× DJNZ R7,$ 2个2×248 (498 DJNZ R6,D1 2个2×20=40 10002

因此,上面的延时程序时间为10.002ms。 由以上可知,当R6=10、R7=248时,延时5ms,R6=20、R7 =248时,延时10ms,以此为基本的计时单位。如本实验要求 0.2秒=200ms,10ms×R5=200ms,则R5=20,延时子程序如 下: DELAY: MOV R5,#20 D1: MOV R6,#20 D2: MOV R7,#248 DJNZ R7,$ DJNZ R6,D2 DJNZ R5,D1 RET (2).输出控制 如图1所示,当P1.0端口输出高电平,即P1.0=1时,根据 发光二极管的单向导电性可知,这时发光二极管L1熄灭;当 P1.0端口输出低电平,即P1.0=0时,发光二极管L1亮;我 们可以使用SETB P1.0指令使P1.0端口输出高电平,使用 CLR P1.0指令使P1.0端口输出低电平。 5.程序框图

实验报告(单片机实验报告)

1 双字节无符号数加法 例1: 双字节无符号数加法(R0 R1)+(R2 R3) → (R4 R5), R0、 R2、 R4存放16位数的高字节, R1、 R3、 R5存放低字节。已知(R0 R1)=(93h,79h);(R2 R3)=(25h,a4h) 假设其和不超过16位。请编程。 org 0000h Ljmp start org 0050h start: mov R0,#93h mov R1, #79h mov R2,#25h mov R3, #0a4h mov A,R1 ADD A,R3 mov R5,A mov A,R0 ADDC A,R2 mov R4,A ss: jmp ss end 2双字节无符号数减法

例2: 双字节无符号数相减(R0 R1)-(R2 R3) → (R4 R5)。R0、 R2、R4存放16位数的高字节, R1、 R3、 R5存放低字节,已知(R0 R1)=(93h,79h);(R2 R3)=(25h,a4h);请编程。同学自己可以设置被减数与减数数值 org 0000h Ljmp start org 0050h start: mov R0,#93h mov R1,#79h mov R2,#25h mov R3,#0a4h mov A,R1 CLR C SUBB A,R3 mov R5,A mov A,R0 SUBB A,R2 mov R4,A ss: jmp ss end 3双字节数乘以单字节数

例3: 利用单字节乘法指令,进行双字节数乘以单字节数运算。若被乘数为16位无符号数, 地址为M1(30H) 和M1+1(31H)(低位先、高位后), 乘数为8位无符号数, 地址为M2(32H), 积由高位到低位存入R2、 R3和R4三个寄存器中。 30H,31H,32H内容 12H,34H,56H ; org 0000h Ljmp start org 0050h start: mov 30h,#12h mov 31h,#34h mov 32h,#56h mov a,(30h) mov b,(32h) mul ab mov R3,b mov R4,a mov a,(31h) mov b,(32h) mul ab add A,R3 mov R3,A

AD教案实验6单片机最小系统原理图设计

实验六单片机最小系统原理图设计 1 实验目的及要求 ?熟悉Altium designer的操作 ?能够画库原件中没有的库以及封装,并能加载到库,在原理图中熟练调用 ?能够设计较为复杂的电路原理图,并输出元件清单表 2 实验设备 装有Altium designer的电脑一台 3 实验步骤 新建设计工作区:文件-新建-设计工作区 新建PCB工程:文件-新建-工程-PCB工程 新建原理图,PCB图,原理图库以及PCB图库:文件-新建-原理图/PCB/库-原理图库/PCB图库 保存PCB工程文件到以自己名字新建的文件夹里面,保存文件名为51DPJ,文件类型为默认。(实验五已经新建完的可以直接打开,不用再新建一遍了。) 然后在新建完的原理图的里面把本次实验的原理图设计出来。本次实验注重在原理图的编辑以及PCB的制作,以51单片机最小系统为例,大家做的时候可以不完全按照所给原理图画,然后很多元器件可以在网上找到PDF的文档资料,资料中会比较详细介绍元器件的信息,封装,电路图,实物图,以及检测的效果图,电路中的封装基本按照上面来做。

图3 实验原理图 输出元件清单表BOM BOM表对一个项目来说非常重要,因为这张表不仅包含了原理图上的所有元件,同事也是生成部分和采购部门的重要参考文件,因为生成部要利用BOM知道元件的位置及型号,二采购部要知道元件完整型号以及精度等级等参数从而去进行选购,因此,工程师一定要保证BOM单不能出错,否则造成的麻烦可能影响你的产品设计周期。 完整BOM单输出: ?进入BOM单输出对话框:单机菜单Reports---bill of Materials进入BOM单输出对 话框 ?设置BOM单格式并输出:All columns 表格内用于选择 BOM单要添加的栏;从 all columns 栏选中某关键字拖拽到 Grouped Columns 栏用于设置以前关键字进行整行合并;Export 区域内用于设置 BOM 单输出格式;最后单击 EXPORT 按钮导出BOM 单。 ?变量BOM单输出:按照第二部设置好BOM格式后,如果要以变量形式输出 BOM单,课单机Menu按钮,从中选择Change Variant 变量,再到处BOM单既可以变量形式输出。

单片机原理及应用习题答案 第三版

第一章习题参考答案 1-1:何谓单片机?与通用微机相比,两者在结构上有何异同? 答:将构成计算机的基本单元电路如微处理器(CPU)、存储器、I/O接口电路和相应实时控制器件等电路集成在一块芯片上,称其为单片微型计算机,简称单片机。 单片机与通用微机相比在结构上的异同: (1)两者都有CPU,但通用微机的CPU主要面向数据处理,其发展主要围绕数据处理功能、计算速度和精度的进一步提高。例如,现今微机的CPU都支持浮点运算,采用流水线作业,并行处理、多级高速缓冲(Cache)技术等。CPU的主频达到数百兆赫兹(MHz),字长普遍达到32位。单片机主要面向控制,控制中的数据类型及数据处理相对简单,所以单片机的数据处理功能比通用微机相对要弱一些,计算速度和精度也相对要低一些。例如,现在的单片机产品的CPU大多不支持浮点运算,CPU还采用串行工作方式,其振荡频率大多在百兆赫兹范围内;在一些简单应用系统中采用4位字长的CPU,在中、小规模应用场合广泛采用8位字长单片机,在一些复杂的中、大规模的应用系统中才采用16位字长单片机,32位单片机产品目前应用得还不多。 (2) 两者都有存储器,但通用微机中存储器组织结构主要针对增大存储容量和CPU对数据的存取速度。现今微机的内存容量达到了数百兆字节(MB),存储体系采用多体、并读技术和段、页等多种管理模式。单片机中存储器的组织结构比较简单,存储器芯片直接挂接在单片机的总线上,CPU对存储器的读写按直接物理地址来寻址存储器单元,存储器的寻址空间一般都为64 KB。 (3) 两者都有I/O接口,但通用微机中I/O接口主要考虑标准外设(如CRT、标准键盘、鼠

dickus单片机实验

数据传送实验 实验项目类型:设计型 实验时间:2012.10.15 一、实验目的 1、掌握单片机的汇编指令系统及汇编语言程序设计方法。 2、掌握单片机的存储器体系结构。 3、熟悉Keil软件的功能和使用方法。 4、掌握单片机应用程序的调试方法。 二、设计要求 1、编写程序将00H~0FH 16个数据分别送到单片机内部RAM 30H~3FH单元中。 2、编写程序将片内RAM 30H~3FH的内容传送至片内RAM 40~4FH单元中。 3、编写程序将片内RAM 40H~4FH单元中的内容传送到外部RAM 4800H~480FH单元中。 4、编写程序将片外4800H~480FH单元内容送到外部RAM 5800H~580FH单元中。 5、编写程序将片外RAM 5800H~580FH单元内容传送回片内RAM 50H~5FH 单元中。 三、实验程序流程框图和程序清单。

流程图 程序一程序二 程序三程序四程序五 程序清单 ORG 0000H MAIN: MOV R0, #30H MOV A, #00H

T1: MOV @R0, A INC R0 INC A CJNE A, #10H, T1 MOV R0, #3FH MOV R2, #10H MOV R1, #4FH T2: MOV A, @R0 MOV @R1, A DEC R0 DEC R1 DJNZ R2, T2 MOV R2, #10H MOV R1, #4FH MOV DPTR, #480FH T3: MOV A, @R1 MOVX @DPTR, A DEC R1 DEC A MOV DPL, A DJNZ R2, T3 MOV DPL, #00H MOV R2, #10H T4: MOV DPH, #48H MOVX A, @DPTR MOV DPH, #58H MOVX @DPTR, A INC DPTR DJNZ R2, T4 MOV R0, #50H MOV DPTR, #5800H MOV R2, #10H T5: MOVX A, @DPTR MOV @R0, A INC R0 INC A MOV DPL, A

51单片机实验报告94890

《单片机与接口技术》实验报告 信息工程学院 2016年9月

辽东学院信息技术学院 《单片机与接口技术》实验报告 姓名:王瑛 学号: 0913140319 班级: B1403 专业:网络工程 层次:本科 2016年9月

目录 实验题目:实验环境的初识、使用及调试方法(第一章) 实验题目:单片机工程初步实验(第二章) 实验题目:基本指令实验(第三章)4 实验题目:定时器/计数器实验(第五章)4 实验题目:中断实验(第六章)4 实验题目:输入接口实验(第八章)4 实验题目:I/O口扩展实验(第九章)4 实验题目:串行通信实验(第十一章)4 实验题目:A/D,D/A转换实验(第十七章)4

实验题目:实验环境的初识、使用及调试方法实验 实验类型:验证性实验课时: 1 时间:2016年10月24日 一、实验内容和要求 了解单片机的基础知识 了解51单片机的组成和工作方法 掌握项目工程的建立、编辑、编译和下载的过程方法 熟练单片机开发调试工具和方法 二、实验结果及分析 单片机最小系统的构成: Keil集成开发环境:

STC-ISP:

实验题目:单片机工程初步实验 实验类型:验证性实验课时: 1 时间:2016 年10 月24 日一、实验内容和要求 点亮一个LED小灯 程序下载到单片机中 二、实验结果及分析 1、点亮一个LED小灯 点亮LED小灯的程序: #include //包含特殊功能寄存器定义的头文件 sbit LED = P0^0; sbit ADDR0 = P1^0; //sbit必须小写,P必须大写 sbit ADDR1 = P1^1; sbit ADDR2 = P1^2; sbit ADDR3 = P1^3; sbit ENLED = P1^4; void main() { ENLED = 0; ADDR3 = 1; ADDR2 = 1; ADDR1 = 1; ADDR0 = 0; LED = 0; //点亮小灯 while (1); //程序停止 } 2、程序下载 首先,我们要把硬件连接好,把板子插到我们的电脑上,打开设备管理器查看所使用的COM 口,如图所示:

单片机综合实验教学大纲

《单片机综合实验》教学大纲 学时:18学时学分:1学分课程性质:必修 实验个数:8个使用专业:农机、农机(师)、交通 大纲执笔人:魏新华大纲审定人:吕钊钦 一、实验课的性质与任务 本实验课是与《微机原理与应用》(单片机基础)课程相配套的实验课程。《单片机基础》主要研究单片机微控制器的硬件结构、工作原理、编程方法和简单的接口技术,是一门实践性很强的课程,必须通过实验来加深学生对单片机的工作原理、程序设计、常用接口电路的应用和编程方法等知识的理解和掌握。通过实验课教学使学生进一步掌握MCS-51系列单片机的指令系统,基本掌握汇编语言程序的设计调试方法,熟悉简单接口电路的设计和应用,学会常用单片机仿真系统的使用方法。 二、实验目的与要求 通过实验来加深学生对单片机的工作原理、程序设计、常用接口电路的应用和编程方法等知识的理解和掌握。各个实验的具体目的和要求如下: 实验1:指令系统实验(2学时) 实验目的: (1)、了解单片机仿真系统的组成和原理,熟悉单片机汇编语言程序的调试过程。 (2)、熟悉MCS-51各类指令的功能。 实验要求:编几个简单程序,分别实现数据传送、算术运算、逻辑运算,并根据运算结果实现简单的程序转移。 实验2:汇编语言程序设计实验(2学时) 实验目的: (1)、使学生进一步熟悉指令系统和初步掌握汇编语言程序设计的基本方法。 (2)、熟悉分支结构和循环结构程序设计的基本技巧。 (3)、逐步进行程序调试和运行实践。 实验要求:编写一个散转程序、一个查表程序。 实验3:P1口及外部简单I/O口的应用实验(2学时) 实验目的: (1)、学习P1口的使用方法。 (2)、学习延时子程序的编写和使用。 (3)、学习外部简单I/O口的扩展和使用方法。 实验要求: (1)、P1口做输出口,控制8个LED循环点亮。 (2)、P1口做输入口,接8个扭子开关,74LS273做输出口,控制8个LED,将开关状态反映到LED上。 实验4:有急救车优先的交通灯控制实验(2学时) 实验目的: (1)、学习外部中断技术的基本使用方法。 (2)、进一步学习在单片机系统中扩展简单I/O口的方法。 (3)、掌握中断处理程序的编程方法。 实验要求:以两个74LS273作为输出口,控制12个LED,模拟交通灯管理,并允许急救车优先通过。用外部中断模拟急救车到来。 实验5 定时器实验(2学时)

单片机实验考核题目及答案

---------------------------------------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------

---------------------------------------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------

单片机实验报告

南京晓庄学院电子工程学院 实验报告 课程名称:单片机系统设计与应用 姓名:森 专业:电子信息科学与技术 年级:14级 学号:05 2016年12 月1 日

实验项目列表 序号实验项目名称成绩指导教师 1 单片机仿真软件的使用 2 单片机I/O接口应用实验——流水灯 3 外部中断实验——工业顺序控制模拟 4 定时/计数器实验——矩形波 5 定时/计数器实验——计数器 6 综合实验 7 8 9 10 注: 1、实验箱端口为com6。 2、芯片选择切换到51 3、停止运行使用实验箱上的复位按钮

实验室号:___ 实验时间:成绩: 实验一仿真软件的使用 1.实验目的和要求 1)熟悉Keil C51软件界面,以及编辑、编译、运行程序的步骤; 2)掌握单片机仿真软件使用和调试的方法。 2.实验原理 Keil C51软件使用 在Keil C51集成开发环境下,建立一个工程并编辑源程序,熟悉Keil C51集成开发环境下各种菜单、命令的使用。 3.主要仪器设备(实验用的软硬件环境) 安装有Keil C51软件的PC机1台 4.操作方法与实验步骤 Keil C51软件使用 (1)建立用户文件夹 (2)建立工程 (3)建立文件并编码。输入以下源程序,并保存在项目所在的目录中 (4)把文件加入工程中 (5)编译工程。编译时观察在界面下方的“Build”页中的到编译错误信息和使用的系统资源情况等。 (6)调试。利用常用调试命令,如复位、运行、暂停、单步、单步跳过、执行完当前子程序、运行到当前行、下一状态、打开跟踪、观察跟踪、反汇编窗口、观察窗口、代码作用范围分析、1#串行窗口、内存窗口、性能分析、工具按钮等命令进行调试,观察并分析调试结果。 (7)目标代码文件的生成。运行生成相应的.HEX文件。 5.实验内容及程序 1)从DATA区地址起始地址为40H的连续10个内存单元的内容传送到XDATA区起始地址为2000H的10个内存单元中。 注意:DATA区地址起始地址为40H的连续10个内存单元必须先赋初值。 P83-5源程序 #include #define uchar unsigned char xdata unsigned char buffer1[10]_at_ 0x2000; //在xdata区定义数组变量BUF1,首地址为2000H data unsigned char buffer2[10]_at_ 0x40; //在data区定义数组变量BUF2,首地址为40H void main(void)

《单片机》课程实验教案

《单片机》课程实验教案 实验一流水灯实验 实验目的: 1、熟悉仿真软件PROTEUS的使用方法。 2、掌握利用PROTEUS软件进行单片机系统设计与仿真的过程。 3、掌握发光二极管的控制方法。 实验原理: 利用P1口的通用I/O口功能,P1口做输出口,通过程序向P1口传送数据,用8只发光二极管分别显示P1.7~P1.0各管脚的电平状态,编写程序实现暗点以1HZ频率由低位到高位循环。 P1口接发光二极管的阴极,P1口的管脚输出低电平时对应的发光二极管点亮,实验电路如图所示。 1、从 (1)AT89S51:单片机; (2)RES、RX8:电阻、8排阻; (3)LED-GREEN:绿色发光二极管; (4)CAP、CAP-ELEC:电容、电解电容; (5)CRYSTAL:晶振。 2、放置元器件。 3、放置电源和地。 4、连线。 5、元器件属性设置。 6、电气检测。 实验设备及软件系统: 1、电脑;

2、MA TLAB软件。 实验步骤: 1、画流程图。 2、编写汇编程序。 3、通过菜单“source→Add/Remove Source Files…”新建源程序文件:DSJ1.ASM。 4、通过菜单“source→DPJ1.ASM”,打开PROTEUS提供的文本编辑器SRCEDIT,在其中编辑源程序。 5、程序编辑好后,单击按钮存入文件DSJ1.ASM。 6、源程序编译汇编、生成目标代码文件。 7、通过菜单“source→Build All”编译汇编源程序,生成目标代码文件。若编译失败,可对程序进行修改调试直至汇编成功。 8、加载目标代码文件。 9、全速仿真。 单击按钮,启动仿真。暗点以1HZ频率由低位到高位循环移动。 10、仿真调试。 (1)带断电仿真。

51单片机实验报告

51单片机实验报告

实验一 点亮流水灯 实验现象 Led灯交替亮,间隔大约10ms。实验代码 #include void Delay10ms(unsigned int c); void main() { while(1) { P0 = 0x00; Delay10ms(50); P0 = 0xff; Delay10ms(50); } }

void Delay10ms(unsigned int c) { unsigned char a, b; for (;c>0;c--) { for (b=38;b>0;b--) { for (a=130;a>0;a--); } } } 实验原理 While(1)表示一直循环。 循环体首先将P0的所有位都置于零,然后延时约50*10=500ms,接着P0位全置于1,于是LED全亮了。接着循环,直至关掉电源。延迟函数是通过多个for循环实现的。 实验2 流水灯(不运用库函数) 实验现象 起初led只有最右面的那一个不亮,半秒之后从右数第二个led

也不亮了,直到最后一个也熄灭,然后led除最后一个都亮,接着上述过程 #include #include void Delay10ms(unsigned int c); main() { unsigned char LED; LED = 0xfe; while (1) { P0 = LED; Delay10ms(50); LED = LED << 1; if (P0 == 0x00) { LED = 0xfe; } } } void Delay10ms(unsigned int c)

单片机实验报告一

单片机实验报告 1 姓名 陈奋裕 时间 2014/10/30 地点 机电实验大楼B526 实验题目 软件开发环境和简单程序设计 一、实验目的 1. 熟悉WAVE 软件使用 2. 学习简单程序的调试方法 二、实验主要仪器及环境 PC 机、WA VE 软件、仿真器+仿真头、实验板、电源等。 三、实验内容及步骤 1.启动PC 机,打开WAVE 软件,软件设置为模拟调试状态。在所建的项目文件中输入源程序,进行编译,编译无误后,执行程序,点击全速执行快捷按钮,点击暂停按钮,观察存储块数据变化情况,点击复位按钮,可再次运行程序。 2.打开CPU 窗口,选择单步或跟踪执行方式运行程序,观察CPU 窗口各寄存器的变化,可以看到程序执行的过程,加深对实验的了解。 四、流程图及参考程序 实验1 1)参考程序 2)流程图 ORG 0000H START EQU 30H MOV R0, #START MOV R2, #10 mov a,#01h Loop: MOV @R0,A NOP LJMP $ END

五、实验及程序的分析和讨论 (1)第一个程序是将地址为30H到39H的寄存器的内容全部置1。先在R0中存放内部存储器的起始地址30H,R2中存放内部存储器的长度10个,累加器置1,然后利用循环控制指令DJNZ R2,Loop控制10次循环给上述10个单元赋值1.最后,使单片机自身跳转。 (2)实验得到全速执行后相应的测试结果: (3)实验得到30H到39H寄存器执行后的内容: 从该表中也可以看出该程序的功能,即将30H到39H的寄存器内容置1,说明自己的分析是对的。 六、实验小结 1、汇编语言的结果在软件里面全部都是黑色字体,无法编译,在老师的 提醒下,知道了WAVE软件只能执行ASM文件,所以实验前要先将文件 的类型改为.ASM。 2、程序中的逗号要在英文的状态下面编写;若提示有空余符号,则是分 号后面直接写注释,不要添加空格 七、思考题 1、软件开发环境提供了哪些调试手段?各有何特点? 答: 1.伟福仿真器为我们的调试提供了多种方法,它可以编译,以便查 找语法错误; 2.单步执行,来检查每句程序的功能; 3.全速执行程序,来检查整段程序要完成的功能; 4.还可以设置断点进行调试,以便分段执行程序。 2、如何将存储器块的内容移动到另一位置? 答:借助指针和寄存器,利用转移类指令即可将存储器块的内容移动到 另一位置。

最新单片机原理实验教案参考程序

单片机原理实验教案 参考程序

广东松山职业技术学院《MCS-51单片机原理》实验指导书 宁玉珊黄晓林 使用Proteus辅助设计与仿真

实训项目1 Proteus辅助设计与仿真的使用 一、实训目的 学习并熟练掌握PROTEUS辅助设计与仿真软件的使用。通过使用Proteus的ISIS组件绘制AT89C51功能接口原理图,并对原理图编写程序和调试程序,观察在仿真条件下的实现功能的效果。 二、实训内容 在PROTEUS仿真环境下实现一个发光二极管(LED)闪烁。要求LED亮0.5s灭1s,并绘制原理图和编写实现程序,同时用虚拟的示波器观察硬件和软件实现的效果。 三、实训器材 安装有Proteus7软件的计算机 1 台。 四、实训步骤 1)在硬盘建立文件夹用来保存新建项目的所有文件。如在D盘建立PROJECT文件夹。 2)选择‘开始→程序→Proteus7 professional→ISIS professional(或者双击桌面图标ISIS)’,进入Proteus仿真环境,如图P1_1和P1_2所示。 图P1-1

图P1-2 3)选择菜单【File/New Design】创建一个新的设计项目,如图P1_3所示。 图P1-3 4)此时系统会弹出模板选择窗口,选择‘DEFAULT’点击【OK】即可,如图P1_4所示。

图P1_4 5)点击界面左侧工具栏中的图标,接着点击元件池上方的按钮,将要用到的元器件从系统库调到当前设计文件库中。在弹出的Pick Devices对话框左上角的‘Keywords’文本框中键盘输入元件名(或元件的其它关键词)搜索到需要的元器件。双击‘Results’栏下的目标元件,该元件即调出到当前设计文件库的元件列表中,如图P1_5所示。本实训中所要用到的元件如表PS1_1所示。 图P1_5 元件名称搜索关键词元件序 数值备注 号 电阻器Resistor R1 10k 电阻器Resistor R2 1k 电解电容器MINELECT1U63V C1 4.7μ 陶瓷电容器CERAMIC22P C2、C3 22p 晶振CRYSTAL X1 12MHz 单片机AT89C51 U1

C51单片机实验报告

实验报告册 课程名称:单片机原理与应用B 指导老师:xxx 班级:xxx 姓名:xxx 学号:xxx 学期:20 —20 学年第学期南京农业大学工学院教务处印

实验目录实验一:指示灯/开关控制器 实验二:指示灯循环控制 实验三:指示灯/数码管的中断控制 实验四:电子秒表显示器 实验五:双机通信

姓名:学号:班级:成绩: 实验名称:指示灯/开关控制器 一、实验目的: 学习51单片机I/O口基本输入/输出功能,掌握C语言的编程与调试方法。 二、实验原理: 实验电路原理图如图所示,图中输入电路由外接在P1口的8只拨动开关组成;输入电路由外接在P2口的8只低电平驱动的发光二极管组成。此外,还包括时钟电路、复位电路和片选电路。 在编程软件的配合下,要求实现如下指示灯/开关控制功能:程序启动后,8只发光二极管先整体闪烁3次(即亮→暗→亮→暗→亮→暗,间隔时间以肉眼可观察到为准),然后根据开关状态控制对应发光二极管的亮灯状态,即开关闭合相应灯亮,开关断开相应灯灭,直至停止程序运行。 三、软件编程原理为; (1)8只发光二极管整体闪烁3次

亮灯:向P2口送入数值0; 灭灯:向P2口送入数值0FFH; 闪烁3次:循环3次; 闪烁快慢:由软件延时时间决定。 (2)根据开关状态控制灯亮或灯灭 开关控制灯:将P1口(即开关状态)内容送入P2口;无限持续:无条件循环。 四、实验结果图: 灯泡闪烁:

按下按键1、3、5、7:

经检验,其余按键按下时亦符合题目要求。 五、实验程序: #include"reg51.h" void delay(unsigned char time) { unsigned int j=15000; for(;time>0;time--) for(;j>0;j--); } void main(){ key,char i; for(i=0;i<3;i++) { P2=0x00; delay(500); P2=0xff; delay(500) } while(1) { P2=P3;

单片机实验教学大纲

《单片机》实训教学大纲 一、课程概况 开课单位:信息技术系 适用专业:应用电子技术、电子信息工程、电子工艺 开课时间:一学期(第四学期) 总学时:52H 修课方式:必修 考核方式:考查 二、课程的性质、任务和基本要求 课程的性质和任务 《单片机原理》是移动通讯,电子技术应用专业的一门专业必修课程。单片机是应工业测控的需要而诞生的,被广泛应用于家用电器、智能仪器仪表、移动通讯、工业过程控制、航空、汽车等领域。 本课程主要介绍单片机内部结构原理、指令系统、存储器 的配置、输入输出接口、中断、定时与计数、串行通讯、 汇编语言程序设计、A/D、D/A接口技术。 课程教学的基本要求 了解单片机的基础知识及其发展 掌握单片机内部结构、工作原理、存储器配置、指令系统、输入输出接口、中断、定时与计数。能比较熟练地用汇编 语言进行程序设计。

掌握串行通讯技术,初步掌握A/D、D/A接口技术教学方法和教学形式建议 该课程实践性较强,需要学生多作上机练习。 主讲教师需要有丰富的实践经验,熟悉开发环境。 针对所留习题,可以组织两到三次讨论,以便学生掌握单 片机原理。 课程教学要求的层次 掌握:要求学生能够较好地理解,并且能够进行简单分析 和判断。 了解:要求学生能够一般地知道所学内容。 三、学时分配 学时分配:课程教学总学时数为102学时,其中课内52学时,实验50学时。

四、实验内容和实验安排 [实验要求] 注意自始至终贯彻课程中所介绍的内容。深刻理解单片机的工作原理,各指令的运用,接口特性。 学生应独立完成所布置习题。为保证在统一安排的上机时间内完成实验内容,学生应事先画出硬件连接图,设计好程序。 [实验安排] 共安排二十五次实验 第一次:传送指令练习(一) 熟悉开发机的工作过程,熟悉传送指令、单片机内部工 作寄存器、累加器A和RAM单元的操作。 第二次:传送指令练习(二) 熟悉堆栈指针的设置,压栈,出栈指令以及堆栈指针的 变化。弄清数据交换指令的功能。 第三次:算术逻辑指令练习 熟悉算术逻辑指令功能,弄清算术逻辑指令对标志位的影响。 第四次:控制转移类指令指令练习 熟悉控制转移类指令的功能,弄清PC值的改变过程。 第五次:位操作指令练习

单片机实验报告

实 验 报 告 实验课程:单片机原理及应用 班级: 12自动化2班 学号: 姓名: 教师:张玲 成绩: 实验日期:年月日 实验名称:实验1——计数显示器 一、实验目的: 学习Proteus 软件的使用,掌握单片机原理图的绘图方法。 二、实验内容: 1、绘制“计数显示器”电路原理图; 2、利用提供的hex文件验证此电路的运行效果。 三、实验要求: 提交的实验报告中应包括:1、绘图方法简述,要求说明元件与电源的选取、摆放及属性编辑,总线与标签的画法等内容;2、电路原理图; 3、仿真运行效果展示,要求就仿真文件加载方法及3~4幅运行截图进行简要说明;4、实验小结,说明遇到的主要问题或实验

1体会等。 参考电路原理图如下: 元件类别电路符号元件名称 Microproces sor ICs “U1”80C51 Miscellaneo us “X1”/12MHz CRYSTAL Capacitors“C1”~“C2” /1nF CAP Capacitors“C3”/22μF CAP-ELEC Resistors Packs “RP1”/7-100ΩRESPACK-7 Resistors“R1”/100ΩRES Optoelectro nics “LED1”~ “LED2” 7SEG-COM-CAT-G RN Switches & Relays “BUT”BUTTON 1、编程思路及C51源程序:

2、电路原理图: 3、仿真运行效果展示:

4、实验小结: 熟悉Proteus软件,了解软件的结构组成与功能;学习ISIS模块的使用方法, 学会设置图纸、选元件、线画总线、修改属性等基本操作;学会可执行文件 加载及程序仿法;理解Proteus在单片机开发中的作用,完成单片机电路原 理图的绘制。

相关主题
文本预览
相关文档 最新文档