当前位置:文档之家› 简易交通灯控制器的设计(电子技术)

简易交通灯控制器的设计(电子技术)

简易交通灯控制器的设计(电子技术)
简易交通灯控制器的设计(电子技术)

课程设计(论文)

题目名称简易交通灯控制器的设计

课程名称电子技术课程设计

学生姓名

学号

系、专业电气工程系、09自动化

指导教师唐宏伟

2011年12 月10 日

课程设计(论文)任务书

指导教师(签字):学生(签字):

邵阳学院课程设计(论文)评阅表

学生姓名学号

系电气工程系专业班级

题目名称简易交通灯控制器的设计课程名称电子技术课程设计一、学生自我总结

二、指导教师评定

2、表中的“评分项目”及“权重”根据各系的考核细则和评分标准确定。

摘要

当前,大量的信号灯电路正向着数字化、小功率、多样化、方便人、车、路三者关系的协调,多值化方向发展随着社会经济的发展,城市交通问题越来越引起人们的关注。

本简易交通灯控制器全部由数字电路组成,由555定时器提供一秒脉冲,计数器(74LS160)进行计时,译码器(74LS47)进行显示译码,多路选择器74LS153、D触发器、与非门和非门组成主支路时间转换控制电路,比较以前的方案更为精确。关键词:计数器74LS160;LED;译码器74LS47;555定时器;D触发器;74LS153

目录

摘要 ...................................................... I 目录....................................................II 1交通信号灯基本原理及设计方法 (1)

2 主控制器 (3)

2.1 74160十进制同步计数器(异步清除) (3)

2.2边沿D触发器 (4)

2.3 74LS138的作用 (5)

2.4 双4选1数据选择器74LS153 (6)

3 译码显示电路 (8)

3.1共阴极LED七段数码管 (8)

3.274LS47译码器 (9)

4555定时器构成及多谐振荡器 (11)

4.1节拍发生电路 (11)

4.2555定时器的引脚图及功能 (12)

参考文献 (13)

附录1主要元件清单 (14)

附录2系统原理图 (16)

总结 (18)

致谢 (19)

1 交通信号灯基本原理及设计方法

十字路口的红绿灯指挥着行人和各种车辆的安全通行。有一个主干道和一个支干道的十字路口如图1.1所示。每边都设置了红、绿、黄色信号灯。红灯亮表示禁止通行,绿灯亮表示可以通行,在绿灯变红灯时先要求黄灯亮几秒钟,以便让停车线以外的车辆停止运行。因为主干道上的车辆多,所以主干道放行的时间要长。

黄干 图1.1 路口交通指挥系统示意图

设主干道通行时间为N1,干道通行时间为N2,主、支干道黄等的时间均为N3,

1.2所示。

图1.2 系统工作流程图

要实现上述交通信号灯的自动控制,则要求控制电路由时钟信号发生器、计数器、主控制器、信号灯译码驱动电路和数字显示译码驱动电路等几部分组成,整机电路的原理框图如图1.3所示。四个路口设有红、黄、绿三色灯和两位8421BCD码的计数、译码显示器。

十字路口车辆运行情况只有4种可能:1)设开始时主干道通行,支干道不通行,这种情况下主绿灯和支红灯亮,持续时间为25s。2)25s后,主干道停车,支干道仍不通行,这种情况下主黄灯和支红灯亮,持续时间为4s。3)25s后,主干道不通行,支干道通行,这种情况下主红灯和支绿灯亮,持续时间为25s。4)25s后,主干道仍不通行,支干道停车,这种情况下主红灯和支黄灯亮,持续时间为4s。4s后又回到第一种情况,如此循环反复。因此,要求主控制电路也有4种状态,设这4种状态依次为:S0、S1、S2、S3。状态转换图如图1.4所示

2 主控制器

2.1 74160十进制同步计数器(异步清除)

简要说明:

160为可预置的十进制同步计数器,共有54/74160和54/74LS160两种线路结构型

式,其主要电特性的典型值表2.1如下:

表2.1 74LS160典型值

160的清除端是异步的。当清除端/MR 为低电平时,不管时钟端CP 状态如何,即

可完成清除功能。160的预置是同步的。当置入控制器/PE 为低电平时,在CP 上升沿作用下,输出端Q0-Q3与数据输入端P0-P3一致。对于54/74160,当CP 由低至高跳变或跳变前,如果计数控制端 CEP 、CET 为高电平,则/PE 应避免由低至高电平的跳变,而54/74LS160无此种限制。160的计数是同步的,靠CP 同时加在四个触发器上而实现的。当CEP 、CET 均为高电平时,在CP 上升沿作用下Q0-Q3同时变化,从而消除了异步计数器中出现的计数尖峰。对于54/74160,只有当CP 为高电平时,CEP 、

CET 才允许由高至低电平的跳变,而54/74LS160的CEP 、CET 跳变与CP 无关。160

有超前进位功能。当计数溢出时,进位输出端(TC )输出一个高电平脉冲,其宽度为

Q0的高电平部分。在不外加门电路的情况下,可级联成N 位同步计数器。54/74LS160,

在CP 出现前,即使CEP 、CET 、/MR 发生变化,电路的功能也不受影响。管脚图如图

2.2。

图2.2 管脚图

引出端符号:

TC进位输出端

CEP计数控制端

Q0-Q3输出端

CET计数控制端

CP时钟输入端(上升沿有效)

/MR异步清除输入端(低电平有效)

/PE同步并行置入控制端(低电平有效)

表2.3功能表

2.2 边沿D触发器

电平触发的主从触发器工作时,必须在正跳沿前加入输入信号。如果在CP高电平期间输入端出现干扰信号,那么就有可能使触发器的状态出错。而边沿触发器允许在CP 触发沿来到前一瞬间加入输入信号。这样,输入端受干扰的时间大大缩短,受干扰的可能性就降低了。边沿D触发器也称为维持-阻塞边沿D触发器。如图2.4 D触发器的引脚图。

特征方程:Qn+1=D

图2.4 D触发器引脚图

2.374LS138的作用

利用G1、/(G2A)和/(G2B)可级联扩展成24线译码器;若外接一个反相器还可级联扩展成32线译码器。若将选通端中的一个作为数据输入端时,74LS138还可作数据分配器。

图2.574LS138引脚

表2.63-8线译码器74LS138的功能表

71LS138有三个附加的控制端。输出为高电平(S=1),译码器处于工作状态。否则,译码器被禁止,所有的输出端被封锁在高电平,如表2.6所示。这三个控制端也叫做“片选”输入端,利用片选的作用可以将多篇连接起来以扩展译码器的功能。带控制输入端的译码器又是一个完整的数据分配器。逻辑图如下图2.7。

图2.7逻辑图

2.4双4选1数据选择器74LS153

所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。引脚图排列如图2.9,功能如表2.8。1G、2G为两个独立的使能端;B、A为公用的地址输入端;1C0~1C3和2C0~2C3分别为两个4选1数据选择器的数据输入端;Y1、Y2为两个输出端。

①当使能端1G(2G)=1时,多路开关被禁止,无输出,Y=0。

②当使能端1G(2G)=0时,多路开关正常工作,根据地址码B、A的状态,将相应的数据C0~C3送到输出端Y。

如:BA=00则选择CO数据到输出端,即Y=C0。BA=01则选择C1数据到输出端,即Y=C1,其余类推。数据选择器的用途很多,例如多通道传输,数码比较,并行码变串行码,以及实现逻辑函数等。数据选择器的应用—实现逻辑函数ab126计算公式大全。下表2.8为74LS153功能表,下图2.9为74LS153引脚功能图

图2.974LS153引脚功能图

3 译码显示电路

译码显示电路主要是由共阳极LED七段数码管,74LS47译码器组成。

3.1共阴极LED七段数码管

数码管分为共阳极结构和共阴极结构。若显示器共阳极连接,则对应阳极接高电平的字段发光;而显示器共阴极连接,则接低电平的字段发光。此次设计采用的是共阴极连接如下图。

图3.1共阴极数码管引脚图

图3.2共阴极了LED交通灯接法

3.274LS47译码器

图3.3 74LS47管脚功能排列及接法图

1.以下介绍各引脚的功能:

(1)3脚是输入端

当=0时,a、b、c、d、e、f、g均输出为零,发光数码管各发光段全亮,显示8字用以检查数码管各发光段是否良好.当=1时,译码器按输入BCD码正常译码显示。

(2)4脚灭灯输入端

当=0时,不论A,B,C,D,的输入状态如何,译码器的输出a、b、c、d、e、

f、g均为高电平,显示器各段均不亮,只有=1时,译码器才根据A、B、C、D

输入状而译码输出。

(3)3脚灭零输入端

当=0时,若输入DCBA=0000,则输出不显示0,若输入为其它带码,则照常显示。

(4)4脚灭零输出端

该端同端共用一个引脚,它既是灭灯输入端用来接收信号,又是灭零输出端输出信号,为相邻位提供灭零输入信号。

注:常用的七段显示器还加了小数点DP

(5)分析

当编码器某一个输入信号为1而其它输入信号都为0时,则有一组对应的数码输出,如7=1时,Y3Y2Y1Y0=0111。输出数码各位的权从高位到低位分别为8、4、2、1。

2.数码连接译码电路:

74LS47是一种BCD码输入端,其中D是高电位;a、b、c、d、e、f、g是输出端,输出低电平有效,和共阳极半导体发光数码管各发光段的阴极引出线相互连接,下面是七段数码显示器管脚接法,74LS47和数码管的管脚排列图:

图3.4 译码连接电路图

3. 真值表:

共阳极数码管的数字显示真值表如下表3.5所示

表3.5七段显示译码电路真值表

4 555定时器构成及多谐振荡器

4.1 节拍发生电路

考虑到节拍是整个电路功能实现的基础及其他模块进行调试的必需条件,故首先实现节拍发生模块。0.5s 节拍选用由555及相关器件构成的多谐振荡器电路实现。

由于输出波形中低电平的持续时间,即电容放电时间为

C R t w 227.0=

低电平的持续时间,即电容放电时间为

C R R t w )(7.0211+=

因此电路输出矩形脉冲的周期为

C R R t t T w w )2(7.02121+=+=

输出矩形脉冲的占空比为

2

12112R R R R T t q w ++=

=

当12R R >>时,占空比近似为50%。 故综合考虑

R1≈5.1K Ω R2≈5.1K Ω C1≈100uF C2≈0.01uF

电路图如下:

图4.1555脉冲产生控制电路图4.2 555定时器的引脚图及功能

1.地GND

2.触发

3.输出

4.复位

5.控制电压

6.门限(阈值)

7.放电8.电源电压VCC

图4.2 555的引脚图

图4.3555的内部电路图

参考文献

[1] 彭介华.电子技术课程设计指导.高教出版社出版,2002

[2] 康华光.电子技术基础.高教出版社出版,1999

[3] 胡宴如.模拟电子技术基础.高教出版社,2004

[4] 黄正瑾.在系统编程技术及其应用.东南大学出版社,1996

附录1主要元件清单

微机原理课程设计——交通灯控制系统

南通大学电子信息学院 微机原理课程设计 报告书 课题名交通灯控制系统 班级 _______ 学号 __________ 姓名 ____ 指导教师 ______ 日期 _________

目录 1 设计目的 (1) 2 设计内容 (1) 3 设计要求 (1) 4 设计原理与硬件电路 (2) 5 程序流程图 (4) 6 程序代码 (4) 7 程序及硬件系统调试情况 (8) 8 设计总结与体会 (9) 9 参考文献 (9)

1 设计目的 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。交通灯能保证行人过马路的安全,控制交通状况等优点受到人们的欢迎,在很多场合得到了广泛的应用。 交通灯是采用计算机通过编写汇编语言程序控制的。红灯停,绿灯行的交通规则。广泛用于十字路口,车站, 码头等公共场所,成为人们出行生活中不可少的必需品,由于计算机技术的成熟与广泛应用,使得交通灯的功能多样化,远远超过老式交通灯, 交通灯的数字化给人们生产生活带来了极大的方便,而且大大地扩展了交通灯的功能。诸如闪烁警示、鸣笛警示,时间程序自动控制、倒计时显示,所有这些,都是以计算机为基础的。还可以根据主、次干道的交通状况的不同任意设置各自的不同的通行时间。或者给红绿色盲声音警示的人性化设计。现在的交通灯系统很多都增加了智能控制环节,比如对闯红灯的车辆进行拍照。当某方向红灯亮时,此时相应的传感器开始工作,当有车辆通过时,照相机就把车辆拍下。 要将交通灯系统产品化,应该根据客户不同的需求进行不同的设计,应该在程序中增加一些可以人为改变的参数,以便客户根据不同的需要随时调节交通灯。因此,研究交通灯及扩大其应用,有着非常现实的意义。 2 设计内容 交通灯控制系统 利用8253定时器、8255等接口,设计一电路,模拟十字路口交通灯控制。要求能实现自动控制和手动应急控制。 3 设计要求 在Proteus环境下,结合课程设计题目,设计硬件原理图,搭建硬件电路 软件设计

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

数字电子技术课程设计之交通灯控制系统

数字电子技术课程设计之交通灯控制系统 专业班级:物联网112 指导教师:陈际 组成员:王海超、殷修修、张天一

一、内容摘要 二、设计内容与要求 三、方案分析 四、原理图设计 4、1信号灯控制器电路 4、2信号灯译码器电路 4、3计时器系统 4、4显示译码器 4、5 LED七段数码管 4、6 555振荡器组成的秒脉冲电路 五、整体电路图以及工作原理 六、参考文献 一、内容摘要 电路通过两个D触发器组成的四进制级数器和由与非门组成的译码器来控制主干道和支干道红、绿、黄灯的状态变化,从而达到疏

通车辆安全顺利通过十字路口,有555计时和电容电阻组成的秒脉冲发生器,计时器由两个74LS190计数器构成,分别用于计时的十位和个位,显示译码器把74LS190输出的BCD码译成七位二进制代码通过七段数码管显示出相应的十进制数。 二、设计内容与要求 为了确保在十字路口车辆安全顺利的通过,在交叉路口设置红、绿、黄三种信号灯,红灯亮时禁止通行,绿灯亮时允许通行,黄灯亮时给行驶中的车辆有时间停靠在禁行线外。 任务和要求: 1、在主干道和支干道之间交替放行,主干道每次放行50秒,支干道每次放行40秒。 2、每次绿灯亮变红时,黄灯先亮4秒,而原红灯不变。 3、用十进制数显示放行与等待时间。 三、方案分析 方案一、用数电电子技术来实现交通灯的控制 1、交通灯控制系统原理框图如图1-1所示 主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成,秒脉冲发生器是系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路驱动信号灯工作,控制器是系统的主要成分,由它控制定时器和译码器工作。

交通灯控制器的设计

交通灯控制器的设计 LG GROUP system office room 【LGA16H-LGYY-LGUA8Q8-LGA162】

电子设计自动化实训说明书 题目:交通灯控制器的设计 系部:信息与控制工程学院 专业:电子信息工程 班级: 06级1班 学生姓名: 朱清美学号: 015 指导教师:张建军 2009年12月21日 目录 1摘要............................................................... 2设计任务与要求..................................................... 3设计原理及框图..................................................... 4单元电路设计及仿真调试............................................. 状态控制器的设计................................................ 状态译码器设计及仿真调试........................................ 定时系统设计及仿真调试.......................................... 秒脉冲发生器设计................................................ 5个人总结 (14) 6参考文献........................................................... 1摘要: 分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。关键词:交通控制交通灯时间发生器定时器1 引言随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道

数字系统课程设计-交通灯控制器实验报告

交通灯控制器 ——数字系统设计报告 姓名: 学号:

一.实验目的 1.基本掌握自顶向下的电子系统设计方法 2.学会使用PLD和硬件描述语言设计数字电路,掌握 Quartus II等开发工具的使用方法 3.培养学生自主学习、正确分析和解决问题的能力 二.设计要求 我所选择的课题是用Verilog HDL实现交通灯控制器。该课题的具体内容及要求如下: 主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。主干道和乡村公路都安装了传感器,检测车辆通行情况,用于主干道的优先权控制。 (1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。主干道最短通车时间为25s 。 (3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行。 (4)不论主干道情况如何,乡村公路通车最长时间为16s。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时

间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极管作交通灯。 (7)要求显示时间,倒计时。 (C表示乡村道路是否有车到来,1表示有,0表示无;SET用来控制系统的开始及停止;RST是复位信号,高电平有效,当RST=1时,恢复到初始设置;CLK是外加时钟信号;MR、MY、MG分别表示主干道的红灯、黄灯和绿灯;CR、CY、CG分别表示乡村道路的红灯、黄灯和绿灯,1表示亮,0表示灭) 系统流程图如下:(MGCR:主干道绿灯,乡村道路红灯;MYCR:主干道黄灯,乡村道路红灯;MRCG:主干道红灯,乡村道路绿灯;MRCY:主干道红灯,乡村道路黄灯;T0=1表示主干道最短通车时间到,T1=1表示5秒黄灯时间到,T2=1表示乡村道路最长通车时间到。)

简易交通灯控制逻辑电路设计word精品

推南呼紅爭院 电子技术课程设计报告题目:简易交通灯控制逻辑电路设计 学生姓名: 学生学号: 年级:2014 级 专业:自动化 班级:(1)班 指导教师: 机械与电气工程学院制 2017年5月 简易交通灯控制逻辑电路设计

机械与电气工程学院自动化专业 1设计的任务与要求 1.1 课程设计的任务 本系统由单片机系统、数码管显示、交通灯显示系统组成。系统除基本的交通功能外,还具有倒计时。东西、南北两个干道交于一个十字路口,各干道有一组红、绿、黄三色的指示灯。红灯亮禁止通行,绿灯亮允许通行。黄灯亮提示人们注意红、绿灯的状态即将切换。 1.2 课程设计的要求本次课程设计实现的交通灯是带有额外的功能,预期实现的主要功能如 下。 (1)具有时间显示功能,就是数码管倒计时功能; (2)红绿灯具有两种状态,南北直行,东西直行; (3)具有模式转换功能,切换到不同状态,交通灯通行时间不一样; 程序开始运行先南北段通行、东西段禁止60s,后东西段通行、南北段禁止60s,依此循环。系统分三种工作模式:正常模式、繁忙模式、特殊模式,并且通过三个按钮“正常”、“繁忙”、“特殊”可相互转化。 正常模式:直行时间显示数码管显示60。此时南北段直行通行(绿灯)、东西段禁 止(红灯)60s,倒计时到3s时,黄灯亮,提醒人们注意了。然后是东西段通行(绿灯),南北段禁行(红灯),一直循环下去。 繁忙模式:南北段、东西段的通行时间改为30s,其它与正常模式类似。 特殊模式:特殊模式红灯全亮,倒计时20s,到最后3秒黄灯闪3次后并转入正常模式。 2 简易交通灯控制逻辑电路设计方案制定 2.1 简易交通灯控制逻辑电路设计的原理系统上电或手动复位之后,系统先显示状态灯及LED 数码管,将状态码值送显P1 口,将要显示的时间值的个位和十位分别送显P0 口,在此同时用软件方法计时1秒, 到达1s就要将时间值减1,刷新LED数码管。

数字电路课程设计交通灯控制器

数字电路课程设计交通灯控制器

数字电路课程设计报告书 题目:交通灯控制器 一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 4)选作:用两组数码管实现双向到计时显示。 三使用元件

四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。

2.分析系统的状态变化,列出状态转换表:(1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。(2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。(4)主干道红灯亮,支干道黄灯亮。表示主干道禁止通行,支干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如下表所示: 五单元电路的设计 1)秒脉冲产生电路 经过555芯片按一定的线路接上不同的电阻和电容就可产生周期不

简易交通灯控制电路

目录 引言 (2) 1 实验目的 (3) 2 设计任务和要求 (4) 2.1 工作流程 (4) 2.2 工作时序 (4) 2.3 循环工作 (4) 3 系统总体设计 (5) 4 单元电路设计 (8) 4.1 脉冲发生器 (8) 4. 2 控制器 (8) 4. 3 计时显示电路 (9) 4. 4 计数器驱动脉冲电路图 (11) 5 主要电子器件 (14) 6 设计总结 (15) 致谢 (16) 主要参考资料 (17)

引言 数字电子技术基础是高等学校弱电类各专业的一门重要的技术基础课程。这门课程发展迅速、实用性和应用性强,侧重于逻辑行为的认知和验证。 随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。 通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础来实现涉及交通控制信号灯。 设计一个简易交通信号灯控制器,在十字入口处设置红、黄、绿三种信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

1 实验目的 1、掌握综合应用理论知识和中规模集成电路设计方法 2、掌握调试及电路主要技术指标的测试方法

2 设计任务和要求 1、工作流程 南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄灯亮。 2 、工作时序 东西方向亮红灯时间应等于南北方向亮黄灯和绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。 一次循环为30秒,其中红灯亮的时间是绿灯、黄灯亮的时间之和,黄灯是间歇闪烁。 3 、循环工作 十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为30秒,然后以每秒减1计数方式工作,直至减到2秒时,绿灯熄灭,黄灯亮并闪烁,计数继续每秒减1,直到0,黄灯熄灭,红灯亮。十字路口红、绿灯交换,一次工作循环结束,而进入下一步某方向的工作循环。

交通灯控制器的设计与实现

实验三交通灯控制器的设计与实现 一、实验目的 1)了解交通灯管理的基本工作原理。 2)熟悉计数器/定时器的工作方式及应用编程。 3)掌握多位LED显示的方法。 二、实验内容 设计一个用于十字路口的交通灯控制器。 基本要求: 东西和南北方向各有一组红、黄、绿灯用于指挥交通,红、黄、绿的持续时间分别为25s,5s,20s。当有紧急情况(如消防车)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原来状态,正常工作。一组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。 三、实验学时:6学时 四、实验步骤 1. 根据实验要求作预习报告。 2. 根据实验需求,进行程序的设计。 3. 根据以前设计的经验,反复调试程序。 4. 调试通过,下载到FPGA开发板上进行实践调试。 5. 完成整个过程,写实验报告。 附:有关管脚配置参看前6次实验的实验指导书 五、实验要求: 实验报告应包含实验目的、实验原理,分析与设计思路,实验程序,对应变量的管脚配置表,实验结果,实验总结。其中具体要求为: 1)程序实现模块化设计,写出设计思路,认真标注代码注释;

2)达到正确地运行结果; 3)实验中出现的问题进行详细总结。 六.实验原理 八段数码显示管的原理,Verilog 程序设计原理,实际情况中交通灯的原理。 七.分析与设计思路 题目要求是东西和南北方向各有一组红、黄、绿灯用于指挥交通,红、黄、绿的持续时间分别为25s,5s,20s。当有紧急情况(如消防车)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原来状态,正常工作。一组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。 根据要求,我们可以有这样的思路: 1. 首先,紧急情况时候,必须两个走向的道路都是红灯。 2. 其次,正常情况下,两个走向的道路必须有一个且只有一个是亮红灯的。 3. 再者,正常情况下,若一条道路亮红灯时,另一条道路必须是绿灯,或者 是黄灯。 除此之外,关于八段数码显示管的显示,我们先采用片选信号选出,然后对这个已选出的数码管进行段选来让它显示指定的数字。 综上所述,同时考虑到时间问题。可以表述如下: 紧急情况时,我们按下路灯控制按钮,让两条道路的红灯同时点亮。 正常情况时,东西走向的道路的红灯亮的同时从25s倒计时,与此同时,南北走向的道路的黄灯亮同时从5s倒计时。当红灯倒计时至20s时,南北走向的道路的黄灯灭绿灯开始从20s倒计时。当两条道路的灯同时到 达0时,两灯同时灭并点亮南北走向道路的红灯从25s倒计时,与此同 时,点亮东西走向的黄灯并从5s开始倒计时,当南北走向的道路的红灯 倒计时至0s时,东西走向的黄灯灭绿灯亮,同时从20s开始倒计时。如此往复循环,就是一个十字路口的交通灯的原理。 八.实验程序 module jiaotongdeng(clk,ji,R,D,L,cs); input clk,ji; output R,L,D,cs; reg tick;

EDA交通灯控制器课程设计报告

交通灯控制器课程设计 该交通信号控制器控制十字路甲、乙两条道路的红、黄和绿三色灯,指挥车辆和行人 安全通行。 功能要求如下: 1.只有在小路上发现汽车时,高速公路上的交通灯才可能变成红灯。 2.当汽车行驶在小路上时,小路的交通灯保持为绿灯,但不能超过给定的时间。(20s) 3.高速公路灯转为绿色后,即使小路上有汽车出现,而高速公路上并无汽车,也将在给定的时间内 保持绿灯。(60s)。 设计如下: ——1hz分频器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fen_pin1 is port(clk100hz:in std_logic; clk1hz:out std_logic);

end fen_pin1; architecture bhv of fen_pin1 is signal qan:std_logic_vector(3 downto 0); signal qbn:std_logic_vector(3 downto 0); signal cin:std_logic; begin process(clk100hz) begin if(clk100hz'event and clk100hz='1')then if qan="1001"then qan<="0000";cin<='1'; else qan<=qan+1;cin<='0'; end if; end if; end process; process(clk100hz,cin) begin if(clk100hz'event and clk100hz='1')then if cin='1' then if qbn="1001" then qbn<="0000"; else qbn<=qbn+1; end if ; end if ; end if ; end process; process(qan,qbn) begin if (qan="1001"and qbn="1001")then clk1hz<='1'; else clk1hz<='0'; end if; end process; end bhv; ——2hz分频器 library ieee; use ieee.std_logic_1164.all; entity fen_pin2 is port (clk100hz:in std_logic; clk2hz:out std_logic); end fen_pin2; architecture bhv of fen_pin2 is begin process(clk100hz) variable cnt:integer range 0 to 24; variable tmp:std_logic; begin

简易交通灯的设计

目录 一、设计任务和基本要求 (3) 二、实验原理 (3) 三、交通灯电路设计 (5) 四、交通灯的仿真结果图 (14) 五、交通灯的仿真总电路图 (15) 六、元器件清单 (16) 七、收获与体会 (17) 八、参考文献 (17)

一.设计任务和基本要求 (一)设计任务 设计一个十字路口交通灯信号控制器。 (二)基本要求 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全迅速地通行,在交叉路口的每个入口处设置了红绿黄三色信号灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮提醒司机将行驶中的车辆停靠在禁止线之外。 ①定周控制:主干道绿灯45秒,支干道绿灯25秒 ②每次由绿灯变红灯时,应有5秒黄灯作为过渡 ③分别用红黄绿色发光二极管表示信号灯 ④设计计时显示电路 二.实验原理 交通信号灯自动定时控制器用中小规模数字集成电路实现非常方便,而且便于在multisim内进行仿真实验。利用计算机和Multisim仿真软件将使系统的分析过程大大简化,而且更加直观。 设系统工作的十字路口由主、支两条干道构成,4路口均设红、黄、绿三色信号灯和用于计时的2位由数码管显示的十进制计数器,其示意图如图1所示。

图1 十字路口交通信号灯控制示意图 根据交通规则,交通信号灯自动定时控制器所需实现的功能如下: (1)主、支干道交替通行。 (2)每次绿灯换红灯前,黄灯先亮较短时间用以等待十字路口内滞留车辆通过。 (3)主支干道通行时间和黄灯亮的时间均可由同一计数器按减计数方式计数(零状态瞬间进行状态的转换,视为无效态)。 (4)在减计数器回零瞬间完成十字路口通行状态的转换(换灯)。 (5)计数器的状态由Multisim显示器件库中的带译码器七段数码管显示,红、黄、绿三色信号灯由Multisim显示器件库中的指示灯模拟。 1.系统工作流程图 设主干道通行时间为45S,支干道通行时间为25S,主、支干道黄灯亮的时间均为5S。系统工作流程图如图2所示。

电子技术课程设计报告交通灯控制电路设计

电子技术课程设计报告——交通灯控制电路设计 上海大学机自学院自动化系

电气工程专业 : 学号: 指导老师:徐美华 目录 目录2 一、主要用途:3 二、设计任务及要求:3 三、设计思路步骤及仿真调试4 1.设计分析4 2.交通灯模块:5 3.脉冲信号模块5 4.减法计数器及数码显示管模块6

5.控制模块7 6.对所使用芯片进行介绍:8 7.交通灯工作图12 四、实习小结15 一、主要用途: 有效管制交通、疏导交通流量、提高道路通行能力、有利于减少交通事故。 二、设计任务及要求: 设计一个主干道和支干道十字路口的交通灯控制电路,其要求如下: 1.一般情况下,保持主干道畅通,主干道路灯亮、支干道红

灯亮,并且主干灯亮的时间不少于60 S; 2.当主干道绿灯亮超过60 S,且支干道有车时,主干道红灯 亮,支干道绿灯亮,但支干道绿灯亮的时间不得超过30S; 3.每次主干道或支干道绿灯亮变红灯时,黄灯先亮5S。 三、设计思路步骤及仿真调试 1.设计分析 计数器能进行60进制、30进制以及5进制的减数计数,在计数器与译码器及与非门的工作下实现交通灯信号灯的切换。有以下四个状态: a.主干道绿灯亮,支干道红灯亮,此时主干道允许车辆通行,支干道禁止车辆通行。当主干道绿灯亮够60秒后,控制器发出状态转换信号,系统进入下一个状态。 b.主干道黄灯亮,支干道红灯亮,此时主干道允许超过停车线的车辆继续通行,而未超过停车线的车辆禁止通行,支干道禁止车辆通行。当主干道黄灯亮够5秒后,控制器发出状态转换信号,系统进入下一个状态。 c.主干道红灯亮,支干道绿灯亮。此时主干道禁止车辆通行,支干道允许车辆通行,当支干道绿灯亮够30秒后,控制器发出状态转换信号,系统进入下一个状态。

简易交通灯控制逻辑电路设计

电子技术课程设计报告题目:简易交通灯控制逻辑电路设计 学生姓名: 学生学号: 年级: 2014级

专业:自动化 班级:(1)班 指导教师: 机械与电气工程学院制 2017年5月 简易交通灯控制逻辑电路设计 机械与电气工程学院自动化专业 1设计的任务与要求 1.1 课程设计的任务 本系统由单片机系统、数码管显示、交通灯显示系统组成。系统除基本的交通功能外,还具有倒计时。东西、南北两个干道交于一个十字路口,各干道有一组红、绿、黄三色的指示灯。红灯亮禁止通行,绿灯亮允许通行。黄灯亮提示人们注意红、绿灯的状态即将切换。 1.2 课程设计的要求 本次课程设计实现的交通灯是带有额外的功能,预期实现的主要功能如下。 (1)具有时间显示功能,就是数码管倒计时功能; (2)红绿灯具有两种状态,南北直行,东西直行;

(3)具有模式转换功能,切换到不同状态,交通灯通行时间不一样; 程序开始运行先南北段通行、东西段禁止60s,后东西段通行、南北段禁止60s,依此循环。系统分三种工作模式:正常模式、繁忙模式、特殊模式,并且通过三个按钮“正常”、“繁忙”、“特殊”可相互转化。 正常模式:直行时间显示数码管显示60。此时南北段直行通行(绿灯)、东西段禁止(红灯)60s,倒计时到3s时,黄灯亮,提醒人们注意了。然后是东西段通行(绿灯),南北段禁行(红灯),一直循环下去。 繁忙模式:南北段、东西段的通行时间改为30s,其它与正常模式类似。 特殊模式:特殊模式红灯全亮,倒计时20s,到最后3秒黄灯闪3次后并转入正常模式。 2 简易交通灯控制逻辑电路设计方案制定 2.1 简易交通灯控制逻辑电路设计的原理 系统上电或手动复位之后,系统先显示状态灯及LED数码管,将状态码值送显P1口,将要显示的时间值的个位和十位分别送显P0口,在此同时用软件方法计时1秒,到达1s就要将时间值减1,刷新LED数码管。 时间到达一个状态所要全部时间,则要进行下一状态判断及衔接,并装入次状态的相应状态码值以及时间值,当然,还要开启两个外部中断,其一为紧急情况处理中断,一旦信号有效,即K键为低电平时进入中断服务子程序,东西南北路口的保持现有通行状态,再按一下K键,中断结束返回。其二为通行时间调整中断,若按键有效,进入相应的中断子程序,对时间进行调整,可延长或减少某一路段的通行时间,此后再按键则中断结束返回。 2.2 简易交通灯控制逻辑电路设计的技术方案

交通灯控制器课程设计说明书

交通灯控制器课程设计说明书课程设计说明书 学生姓名:____________ 学号:________________ 学院:_______________________________________ 专业:_______________________________________ 题目:_____________ 交通灯控制器_____________ 指导教师:职称:

2010年1月15日 目录 1、实验任务 (3) 2、实验目的 (3) 3、设计方案 (3) 4、参考电路设计 (4) 5、实验仪器设备 (9) 6、实验心 得 (10)

一.实验任务 设计一个交通灯控制器,具体要求如下: 1、以红,黄,绿三种颜色的发光管作为交通灯。绿灯亮表示可以通行, 红灯 亮表示禁止通行.黄灯亮表示未通过的车辆禁止通行. 2、每次放行时间为30秒,红转绿或绿转红时,需黄灯亮5秒作为过度。 二.实验目的 1、掌握电子电路的一般设计方法和设计流程。 2、学习使用PROTEL软件绘制电路原理图和印刷版图。] 3、掌握应用EWB对设计的电路进行仿真,通过仿真结果验证设计的正确 性。 三.设计方案 交通灯控制器参考方案 图1 图1为交通灯控制器的一个参考设计方案。在这一方案中,系统主要由控制器.定时器?脉冲信号发生器.译码器?信号灯组成。 TL.TY为定时器的输出信号,ST为控制器的输出信号。 当车道绿灯亮时,定时器开始记时,当记时到30秒时,TL输出为1,否则,TL=0; 当车道黄灯亮后,定时器开始记时,当记时到5秒时,TY输出为1,否则,TY=0;

ST 为状态转换信号,当定时器数到规定的时间后,由控制器发出状态转 换信号,定时器开始下一个工作状态的定时计数。 控制状态为: 表1 ?状态转换 表 图2画出了控制器的状态转换图,图中TY 和TL 为控制器的输入信号, ST 为控制器的输出信号。 00 .01 . 11. 交通信 号灯 有四个状态, 用SO. 来表 SI. S2 ? S3 示,并且分别 分配 编码状态为

简易交通灯控制器的设计报告

一、概述 交通灯在人类道路交通发展过程中扮演着非常重要的角色,而我国是一个人口超 级大国,汽车工业的发展正在快速增长的阶段,因此限制车辆的增加不是解决问题的好方法。而采取增加供给,即大量修筑道路基础设施的方法,在资源、环境矛盾越来越突出的今天,面对越来越拥挤的交通,有限的源和财力以及环境的压力,也将受到限制。这就需要依靠除限制需求和提供道路设施之外的其他方法来满足日益增长的交通需求。 智能交通灯系统正是解决这一矛盾的途径之一。对城市交通流进行智能控制,可以使道路畅通,提高交通效率。合理进行交通灯控制可以对交通流进行有效的引导和调度,使交通保持在一个平稳的运行状态,从而避免或缓和交通拥挤状况,大大提高交通运输的运行效率,还可以减少交通事故,增加交通安全,降低污染程度,节省能 源消耗,本文就是通过对交叉路口交通灯的智能控制,达到优化路口交通流的目的。 二、方案论证 设计一个简单的交通灯控制器。实际上就是四个平时状态加上一个紧急状态。我们不妨设: S1:南北方向红灯亮,东西方向绿灯亮,时间15s; S2:南北方向红灯亮,东西方向黄灯亮,时间3s; S3:南北方向绿灯亮,东西方向红灯亮,时间l5s; S4:南北方向黄灯亮,东西方向红灯亮,时间3s; S5(紧急状态):如果发生紧急事件,可以手动控制四个方向红灯全亮。 图1 主电路状态与指示灯状态转换

S5的紧急状态,我们可以设计一个开关来控制这个状态的开启与关闭。剩余的四个状态我们可以放在一起来综合考虑。 因为四个状态是轮换的。首先,用10进制计数器对平时状态下的四种情况进行计数,再用3线——8线译码器对这四种状态进行编码,之后控制四个方向的二极管(代替红绿灯)的亮暗。其次,1Hz脉冲信号我们可以用555时基电路构成的多谐振荡器来实现。555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。再者,用两片十进制可逆计数器来显示黄绿灯亮的秒数。 最后,就可以基本实现红绿灯的简单控制。 图2 红绿灯控制器的基本流程图 三、电路设计 1.脉冲产生电路 脉冲是由555时基电路构成的多谐振荡器产生的。选取两个固定电阻,计算出电容,使其频率为1Hz,其电路图如下:

单片机课程设计_基于单片机的交通灯控制系统设计说明

目录 1.硬件设计方案............................................... - 3 -1.1总方案设计 (3) 1.2中央处理单元 (4) 1.3红、绿、黄灯显示部分 (4) 1.4时间显示部分 (4) 1.5按键部分 (5) 2.主要电路原理分析和说明 ..................................... - 6 -2.1红、绿、黄灯显示电路.. (6) 2.2时间显示电路 (6) 2.3按键电路 (8) 2.4时钟及复位电路, (9) 其电路原理图如图2.4所示 (9) 2.5完整电路原理图 (9) 2.6单片机相应管脚及功能说明 (12) 3.软件设计流程及描述......................................... - 14 - 3.1程序流程图 (14) 4.调试....................................................... - 16 -(1)硬件调试 (16) (2)软件调试 (16)

5.结束语..................................................... - 17 - 6.参考文献................................................... - 17 - 7.附录....................................................... - 19 - 1.源程序代码 (19) 2.实物图 (22)

交通灯控制器的课程设计

交通灯控制器的课程设计

课程设计 课题:交通灯控制器的设 计

一、设计目的: 学习QuartusII的使用方法,熟悉可编程逻辑器 件的使用。通过制作来了解交通灯控制系统,交 通灯控制系统主要是实现城市十字交叉路口红绿 灯的控制。在现代化的大城市中, 十字交叉路口 越来越多,在每个交叉路口都需要使用红绿灯进 行交通指挥和管理,红、黄、绿灯的转换要有一个 准确的时间间隔和转换顺序,这就需要有一个安 全、自动的系统对红、黄、绿灯的转换进行管理, 本系统就是基于此目的而开发的。 二、设计任务: 1.满足如下时序要求: 南北方向红灯亮时,东西方向绿灯亮,反之亦 然。 2.每一方向的红(绿)黄灯共维持30秒。 3.当某一方向绿灯亮时,置显示器为30秒,然 后以每秒减1计数方式工作,直至减到数为3 秒时,红绿灯熄灭,黄灯开始间隙闪耀3秒, 减到为0,红绿灯交换,一次工作循环结束, 进入下一步另一方向的工作循环。 4.红绿黄灯均采用发光二极管。

5.设计由晶振电路产生1Hz标准秒信号的单元电 路。 6.要求对整体电路进行仿真,观察并记录下仿真 波形。 三、设计原理: ●交通灯有四个状态: G1 Y1 R1 G2 Y2 R2 S1. 亮灭灭灭灭亮 S2. 灭闪灭灭灭亮 S3. 灭灭亮亮灭灭 S4. 灭灭亮灭闪灭然后重复状态S1. ●分频器 分频器实现的是将高频时钟信号转换成底频的时钟 信号,用于触发控制器、计数器和扫描显示电路。 该分频器将时钟信号分频成1HZ和4HZ的时钟信 号。 ●控制器 控制器的作用是根据计数器的计数值控制发光二极 管的亮、灭,以及输出倒计时数值给七段数码管的 分位译码电路。此外,当检测到为夜间模式时,手 动控制点亮黄灯的二极管。

交通灯控制器课程设计实验报告

2011级课程设计实验报告 交 通 灯 控 制 器 院(系):计算机与信息工程学院专业年级: 2011级通信工程一班姓名: 谢仙 学号: 20114505 指导教师: 杨菊秋

2013年06月25日 目录 1 引言 (3) 2 任务与要求 (3) 3 课程设计摘要及整体方框图 (3) 4 课程设计原理 (4) 4.1 555定时器 (5) 4.2七位二进制计数器4024 (6) 4.3二进制可逆计数器74LS193 (8) 4.4数码显示电路 (9) 结论 (10)

体会与收获 (10) 附录: 1、整体电路原理图 (11) 2、元件表 (12) 3、焊接与调试 (12) 1引言 交通信号灯常用于交叉路口,用来控制车辆的流量,提高交叉路口车辆的通行能力,减少交通事故。本交通灯设计主要由秒脉冲发生器、计数器、译码显示电路组成。秒脉冲发生器由NE555产生脉冲,计数器由74LS193和4024实现,译码电路采用74LS48和七段数码管来显示。 2设计任务与要求 交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的 目的如下; 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了 显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了

显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮。 3课程设计摘要及整体方框图 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下: 4 课程设计原理分析及相关知识概述 脉冲信号发生器由定时器555构成。

交通灯控制器课程设计报告

中南林业科技大学 课程设计报告 设计名称:交通灯控制器 姓名: 学号: 专业班级: 院(系): 一、课程设计题目:交通灯控制器 时间:2015年6月29日至7月13日 地点: 指导老师: 二、课程设计目的

交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下: 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮. 三、 课程设计方案 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下: 四、 课程设计原理 脉冲信号发生器由定时器555构成。 二进制加法计数器由七位二进制加法计数器4024构成。 555脉冲 振荡器 4024 计数器 组合逻辑电路 发光二极管电路 193 计数器 4511 驱动器 数码管

十进制减法计数器由74LS193可逆可预置十进制计数器构成。 组合逻辑电路根据其输入输出的逻辑关系后再确定电路芯片。 驱动器选用4511。 从以上讨论可知,需要对所采用的芯片有比较详细的了解。下 面对以上几种芯片的基本知识和基本特性进行介绍。 1、555定时器 555定时器是一块常用的集成电路,电路符号如左图所示,8为电源端VCC,1为公共端GND。所加电源电压范围:4.5V

数电课程设计简易交通灯控制逻辑电路模板

数电课程设计简易交通灯控制逻辑电 路

课程设计说明书课程名称: 数字电子技术课程设计 题目: 简易交通灯控制逻辑电路 学生姓名: 陈卓斌 专业: ____________ 班级: ____________ 学号: ____________ 指导教师: ____________ 日期: 年 01 月 09 日

课程设计任务书 一、设计题目 简易交通灯控制逻辑电路设计 二、主要内容及要求 要求实现逻辑功能: 1、东西方向绿灯亮, 南北方向红灯亮, 时间15s。 2、东西方向与南北方向黄灯亮, 时间5s。 3、南北方向绿灯亮, 东西方向红灯亮, 时间l0s。 4、如果发生紧急事件, 能够手动控制四个方向红灯全亮。 三、进度安排 1、 .01.01- .01.07 复习归纳触发器、计数器的逻辑功能 2、 .01.08 查找常见器件的资料, 包括: 3、 .01.09 使用EWB5.12辅助设计电路, 并进行调试。完成任务设计书。 四、总评成绩

简易交通灯控制逻辑电路设计 一、设计任务与要求 要求实现逻辑功能, 在1-3状态循环。 1、东西方向绿灯亮, 南北方向红灯亮, 时间15s; 2、东西方向与南北方向黄灯亮, 时间5s; 3、南北方向绿灯亮, 东西方向红灯亮, 时间l0s; 4、如果发生紧急事件, 能够手动控制四个方向红灯全亮。 二、方案设计与论证 1、分解任务要求 任务要求实际上就是4个状态, 不妨设: S1、东西方向绿灯亮, 南北方向红灯亮, 时间15s; S2、东西方向与南北方向黄灯亮, 时间5s; S3、南北方向绿灯亮, 东西方向红灯亮, 时间l0s; S4、如果发生紧急事件, 能够手动控制四个方向红灯全亮。 【表1】 主电路状态与指示灯状态转换

相关主题
文本预览
相关文档 最新文档