当前位置:文档之家› 存储器实验

存储器实验

存储器实验
存储器实验

南京晓庄学院

信息工程学院

计算机组成原理课程

实验名称:存储器实验

年级专业班级:14级计算机科学与技术专业14计算机转本1 班级学号:14131504 姓名:康志勇

时间:2016 年 11月26 日

一、实验目的、要求:

EXD0EXD1EXD2EXD3EXD4EXD5EXD6EXD7

A010A19A28A37A46A55A64A73A825A924A1021A1123A122CS 1

20

CS 226WE 27OE 22D0

11D112D213D315D416D517D618D7

19

U52

6264

G ND V CC

AD0AD1AD2AD3AD4AD5AD6AD7

CE`

P 1.2P 1.1

图3-5

D13

Q1

2

D24Q2

5

D37Q3

6

D48Q4

9

D513Q5

12

D614Q6

15

D717Q7

16

D818

Q819

CLK 11CLR

1

U3774LS 273

V CC A02A13A24A35A46A57A68A79

B0

18

B1

17

B2

16

B3

15

B4

14

B5

13

B6

12

B7

11

E 19

DIR

1

U5174LS 245

S WB`V CC

G ND

KD6KD5KD4KD3KD0

KD2KD7KD1输入数据

L Z D 0-L Z D 7

UN2A

74LS 08

LDAR T 32

13

L D 0-L D 7L A D 0-L A D 7

45

6T 3WE UN2B

74001、掌握静态随机存取存储器RAM 工作特性及数据的读写方法。

二、实验仪器设备、器件及环境: 仪器设备名称

规格型号 编号 备注

模型机运算器

DVCC-C8JH 20112034

三、实验方法、原理:

图1.1.1

主存储器单元电路主要用于存放实验机的机器指令,如图1.1.1所示,它的数据总线挂在

外部数据总线EXD0~EXD7上;它的地址总线由地址寄存器单元电路中的地址寄存器74LS273(U37)给出,地址值由8个LED 灯LAD0~LAD7显示,高电平亮,低电平灭;在手动方式下,输入数据由8位数据开关KD0~KD7提供,并经一三态门74LS245(U51)连至外部数据总线EXD0~EXD7,实验时将外部数据总线EXD0~EXD7用8芯排线连到内

部数据总线BUSD0~BUSD7,分时给出地址和数据。它的读信号直接接地;它的写信号和片选信号由写入方式确定。该存储器中机器指令的读写分手动和自动两种方式。手动方式下,写信号由W/R` 提供,片选信号由CE`提供;自动方式下,写信号由控制CPU的P1.2提供,片选信号由控制CPU的P1.1提供。

由于地址寄存器为8位,故接入6264的地址为A0~A7,而高4位A8~A12接地,所以其实际使用容量为256字节。6264有四个控制线:CS1 第一片选线、CS2第二片选线、OE读线、WE写线。其功能如表3—4所示。CS1片选线由CE`控制(对应开关CE)、OE 读线直接接地、WE写线由W/R`控制(对应开关WE)、CS2直接接+5V。

图中信号线LDAR由开关LDAR提供,手动方式实验时,跳线器LDAR拨在左边,脉冲信号T3由实验机上时序电路模块TS3提供,实验时只需将J22跳线器连上即可,T3的脉冲宽度可调。

四、实验内容、步骤:

1、实验接线图

⑴MBUS连BUS2;

⑵EXJ1连BUS3;

⑶跳线器J22的T3连TS3;

⑷跳线器J16的SP连H23;

⑸跳线器SWB、CE、WE、LDAR拨在左边(手动位置)。

2、实验步骤

⑴连接实验线路,仔细查线无误后接通电源。

⑵形成时钟脉冲信号T3,方法如下:在时序电路模块中有两个二进制开关“运行控制”和“运行方式”。将“运行控制”开关置为“运行”状态、“运行方式”开关置为“连续”状态时,按动“运行启动”开关,则T3有连续的方波信号输出,此时调节电位器W1,用示波器观察,使T3输出实验要求的脉冲信号;本实验中

“运行方式”开关置为“单步”状态,每按动一次“启动运行”开关,则T3输出一个正单脉冲,其脉冲宽度与连续方式相同。

(3)给存储器的00地址单元中写入数据11,具体操作步骤如下:

如果要对其它地址单元写入内容,方法同上,只是输入的地址和内容不同。 (4) 读出刚才写入00地址单元的内容,观察内容是否与写入的一致。具体操作步

骤如下:

KD0-D7=00000000 数据开关置数

SWB=0

开输入三态门 数据置入地址寄存器

SWB=1

SWB=1

CE=1 CE=1 SWB=0 LDAR=1

T3=

KD0-D7=00010001

开输入三态门 数据开关置数 SWB=0

LDAR=0

SWB=0 CE=0 LDAR=0 T3=

WE=1 数据置入存储器RAM

表1.1.1 6264功能表

工作 方式 I/O

输入 DI DO /OE /WE /CS 非选择 X HIGH-Z X X H 读出 HIGH-Z DO L H L 写入 DI HIGH-Z H L L 写入 DI HIGH-Z L L L 选择

X

HIGH-Z

H

H

L

KD0-D7=00000000 数据开关置数

SWB=0

开输入三态门

数据置入地址寄存器

SWB=1

CE=1 CE=1 SWB=0 LDAR=1 T3= SWB=1

CE=0 LDAR=0

WE=0 数据从存储器读出

(5)根据存储器的读写原理,填写表1.1.2。

表1.1.2 存储器的读写信号配合

控制信号写地址写内容读内容

SWB开关 1 0 1 0

LDAR 开关 1 0

CE 开关 1 0

WE开关 1

(6)记录向存储器写入数据的操作过程。

按照前面介绍的实验步骤向存储器地址为00H,01H,02H,03H,04H,05H的单元分别写入数据:55H,33H,44H,66H,08H,F0H。

表1.1.3

地址写入数据读出数据结果说明

01H 00100000 00100000 数据的写入与读取02H 00010011 00010011 数据的写入与读取03H 00100110 00100110 数据的写入与读取04H 10000001 10000001 数据的写入与读取05H 00000101 00000101 数据的写入与读取25H 不写存储器11110011 一个随机地址

36H 00100001 00100001 数据的写入与读取

0A0H 写总线悬空时的数据11111111 总线悬空时表示的数

据是FFH,即写入的

数据是11111111,所

以读出结果为

11111111

(7)写出读出存储器单元内容的操作过程并记录以下地址单元读出的内容。

表1.1.4 存储器单元内容读出记录

地址内容地址内容00000000 01010101 00000011 01100110

00000001 00110011 00000100 00000000

00000010 01000100 00000101 11110000

五、实验现象、结果:

1、计算机先把存储器地址写到地址总线上,存储器再把数据放到数据总线上,这样计算机就可以从数据总线上读取数据了(读操作)。

表1.1.2 存储器的读写信号配合

控制信号写地址写内容读内容

SWB开关 1 0 1 0

LDAR 开关 1 0

CE 开关 1 0

WE开关 1

表1.1.3

地址写入数据读出数据结果说明

01H 00100000 00100000 数据的写入与读取02H 00010011 00010011 数据的写入与读取03H 00100110 00100110 数据的写入与读取04H 10000001 10000001 数据的写入与读取05H 00000101 00000101 数据的写入与读取25H 不写存储器11110011 一个随机地址

36H 00100001 00100001 数据的写入与读取

0A0H 写总线悬空时的数据11111111 总线悬空时表示的数

据是FFH,即写入的

数据是11111111,所

以读出结果为

11111111

表1.1.4 存储器单元内容读出记录

地址内容地址内容00000000 01010101 00000011 01100110

00000001 00110011 00000100 00000000

00000010 01000100 00000101 11110000

六、实验体会

1、通过这次实验我更加详细的了解了存储器的读写时怎么样的一个过程;

2、这个实验讲究一个配合,如果单个人操作极易出现错误,特别是不了解实验过

程和实验原理的情况下。

3、了解了运算器、存储器、数据总线、地址总线等计算机部件的运作原理,为以后的学习及实验打下了基础。

计算机原理实验二 静态随机存储器实验 操作步骤

2.1 静态随机存储器实验 2.1.1 实验目的 掌握静态随机存储器RAM工作特性及数据的读写方法。 2.1.2 实验设备 PC机一台,TD-CMA实验系统一套。 2.1.3 实验原理 实验原理图如图2-1-3所示,存储器数据线接至数据总线,数据总线上接有8个LED 灯显示D7…D0的内容。地址线接至地址总线,地址总线上接有8个LED灯显示A7…A0的内容,地址由地址锁存器(74LS273,位于PC&AR单元)给出。数据开关(位于IN单元)经一个三态门(74LS245)连至数据总线,分时给出地址和数据。地址寄存器为8位,接入6116的地址A7…A0,6116的高三位地址A10…A8接地,所以其实际容量为256字节。 RD WR 图2-1-3 存储器实验原理图 实验箱中所有单元的时序都连接至时序与操作台单元,CLR都连接至CON单元的CLR 按钮。实验时T3由时序单元给出,其余信号由CON单元的二进制开关模拟给出,其中IOM 应为低(即MEM操作),RD、WR高有效,MR和MW低有效,LDAR高有效。 2.1.4 实验步骤 (1) 关闭实验系统电源,按图2-1-4连接实验电路,并检查无误,图中将用户需要连接的信号用圆圈标明。 (2) 将时序与操作台单元的开关KK1、KK3臵为运行档、开关KK2臵为‘单步’档(时序单元的介绍见附录二)。 (3) 将CON单元的IOR开关臵为1(使IN单元无输出),打开电源开关,如果听到有

‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。 图2-1-4 实验接线图 (4) 给存储器的00H、01H、02H、03H、04H地址单元中分别写入数据11H、12H、13H、14H、15H。由前面的存储器实验原理图(图2-1-3)可以看出,由于数据和地址由同一个数据开关给出,因此数据和地址要分时写入,先写地址,具体操作步骤为:先关掉存储器的读写(WR=0,RD=0),数据开关输出地址(IOR=0),然后打开地址寄存器门控信号(LDAR=1),按动ST产生T3脉冲,即将地址打入到AR中。再写数据,具体操作步骤为:先关掉存储器的读写(WR=0,RD=0)和地址寄存器门控信号(LDAR=0),数据开关输出要写入的数据,打开输入三态门(IOR=0),然后使存储器处于写状态(WR=1,RD=0,IOM=0),按动ST产生T3脉冲,即将数据打入到存储器中。写存储器的流程如图2-1-5所示(以向00地址单元写入11H为例): WR = 0 RD = 0 IOM = 0 IOR = 0 LDAR = 0 WR = 0 RD = 0 IOM = 0 IOR = 0 LDAR = 1 T3= WR = 0 RD = 0 IOM = 0 IOR = 0 LDAR = 0 WR = 1 RD = 0 IOM = 0 IOR = 0 LDAR = 0 T3= 图2-1-5 写存储器流程图 (5) 依次读出第00、01、02、03、04号单元中的内容,观察上述各单元中的内容是否与前面写入的一致。同写操作类似,也要先给出地址,然后进行读,地址的给出和前面一样,而在进行读操作时,应先关闭IN单元的输出(IOR=1),然后使存储器处于读状态(WR=0,RD=1,IOM=0),此时数据总线上的数即为从存储器当前地址中读出的数据内容。读存储器的流程如图2-1-6所示(以从00地址单元读出11H为例):

实验三:内存储器部件实验

实验三内存储器部件实验 一、实验目的 1、通过学习TEC-2000教学计算机的存储器系统,深入理解计算机主存储器的功能和组成; 2、学习和理解只读存储器、静态存储器芯片的读写原理,掌握计算机存储器系统的扩展方法。 二、实验说明 TEC-2000教学计算机存储器系统由ROM和RAM两个存储区组成。ROM存储区由2个EEPROM芯片58C65(8192×8)组成,容 量为8192×16。RAM存储区由2个RAM芯片6116(2048×8)组成,容量为2048×16。TEC-2000教学计算机中还预留了2个存储 器芯片插座,可以插上相应存储器芯片进行存储器容量扩展的教学实验。 TEC-2000教学计算机存储器系统组成结构图 三、实验内容 1、完成存储器容量扩展实验,为扩展存储器选择一个地址,注意读写和/OE等控制信号的正确状态; 2、用监控程序的D、E命令对存储器进行读写,比较RAM(6116)、EEPROM(58系列)存储特性的区别以及在读写上的差异; 3、用监控程序的A命令编写一段程序,对RAM(6116)进行读写,用D命令查看结果是否正确。 四、实验步骤 1、RAM实验 RAM(6116)支持随机读写操作,可直接用A、E命令向存储器输入程序或改变存储单元的值。RAM中的内容在断电后会消失,重新启动教学机后会发现存储单元的值发生了改变。 1)用E命令改变内存单元的值并用D命令观察结果。 ⑴在命令行提示符状态下输入: E 2020↙ 屏幕显示2020 内存单元原值。按如下形式键入: 2222(空格)3333(空格)4444(空格)5555 ⑵在命令行提示符状态下输入: D 2020↙ 观察屏幕显示的从2020内存单元开始的值。 ⑶断电后重新启动教学实验机,用D命令观察内存单元2020-2023的值。 2)用A命令输入一段程序,执行并观察结果。 ⑴在命令行提示符状态下输入: A 2000↙ 键入如下汇编程序: 2000:MVRD R0, AAAA 2002:MVRD R1, 5555 2004:AND R0, R1

实验二 数据存储器和程序存储器实验

实验二数据存储器和程序存储器实验 实验目的: 了解DSP内部数据存储器和程序存储器的结构 了解DSP指令的几种寻址方式 实验要求: 主要是对外扩数据存储器进行数据的存储、移动。该实验所需要的硬件主要是DSP、CPLD、DRAM。实验过程是:让学生通过CCS5000的DSP仿真器对DSP 进行仿真,向DSP外扩DRAM写入数据、读数据、数据块的移动,其操作结果通过CCS5000仿真界面进行观察或通过发光二极管观察其正确性。 实验步骤: 经过了实验一以后,相信各位同学对于CCS的基本操作已经了解,故在此不再赘述。 1、以Simulator方式启动CCS,打开项目文件,编译程序,加载目标代码文件。 2、打开各个观察窗口,值得注意的是,本实验需要打开三个内存窗口:Data页的0x2000(.data段)起始处、Data页的0x3000(.stack段)起始处、以及Program页的0x1f00起始处 3、按照实验一的步骤设置断点,观察方法也基本相同,下面仅对各个小段程序进行简要说明: bk0: 通过对XF引脚的置位和复位实现发光二极管的闪烁 bk1: 立即数寻址方式 bk2: 绝对地址寻址方式-数据存储器地址寻址 bk3: 绝对地址寻址方式-程序存储器地址寻址 bk4: 累加器寻址方式 bk5: 直接寻址方式(DP为基准) bk6: 直接寻址方式(SP为基准) bk7: 间接寻址方式 bk8: 存储器映射寄存器寻址方式 bk9: 堆栈寻址方式 bk10: 将程序存储器0x2000为起始地址的0x100个字复制到数据存储器的0x4000为起始地址的空间中

************************************************ * FileName: ex2.asm * * Description: 数据存储器和程序存储器实验* ************************************************ CMD文件: MEMORY { PAGE 0: VECS: origin = 0xff80, length = 0x80 PROG: origin = 0x1000, length = 0x1000 PAGE 1: DATA: origin = 0x2000, length = 0x1000 STACK: origin = 0x3000, length = 0x1000 } SECTIONS { .vectors: {} > VECS PAGE 0 .text: {} > PROG PAGE 0 .data: {} > DATA PAGE 1 .stack: {} > STACK PAGE 1 } 5000系列DSP汇编语言: .title "ex2" ;在清单页头上打印标题 .global reset,_c_int00 ;定义reset和_c_int00两个全局(外部标号),_c_int00是C ; ;行环境的入口点,该入口点在连接的rtsxxx.lib库中,DSP ;复位后,首先跳到0地址,复位向量对应的代码必须跳转 ;到C运行环境的入口点_c_int00. .mmregs ;输入存储器映象寄存器进符号表 .def _c_int00 ;识别定义在当前模块和用在其它模块中的一个或多个符号DA T0 .set 00H ;给符号DAT0设置值为00H DA T1 .set 01H DA T2 .set 02H DA T3 .set 03H DDAT0 .set 2004H DDAT1 .set 2005H DDAT2 .set 2006H DDAT3 .set 2007H PDAT0 .set 1f00H PDAT1 .set 1f01H PDAT2 .set 1f02H PDAT3 .set 1f03H .sect ".vectors" ;中断向量表, 表示以下语句行汇编进名为.vectors的初始化段, ;若用户的程序是要写进EPROM并在上电之后直接运 ;行,则必须包含Vectors.asm文件,这个文件的代码将作为IST ;(中断服务表),并且必须被连接命令文件(.cmd)分配到0 ;地址,DSP复位后,首先跳到0地址,复位向量对应的代码

实验存储器部件实验(精品)

北京林业大学 11学年—12学年第 2 学期计算机组成原理实验任务书 专业名称:计算机科学与技术实验学时: 2 课程名称:计算机组成原理任课教师:张海燕 实验题目:实验四内存储器部件实验 实验环境:TEC-XP+教学实验系统、PC机 实验内容 1.设计扩展8K字存储器容量的线路图,标明数据线、地址线和控制信号的连接关系。 2.扩展教学机的存储器空间,为扩展存储器选择一个地址,并注意读写等控制信号的正确状态。 3.用监控程序的D、E命令对存储器进行读写,比较RAM(6116)、EEPROM (58C65)在读写上的异同。 4.用监控程序的A命令编写一段程序,对RAM(6116)进行读写,用D命令查看结果是否正确。 5.用监控程序的A命令编写一段程序,对扩展存储器EEPROM(58C65)进行读写,用D命令查看结果是否正确;如不正确,分析原因,改写程序,重新运行。 实验目的 1.熟悉ROM芯片和RAM芯片在功能和使用方法等方面的相同和差异之处。 2.理解并熟悉通过字、位扩展技术实现扩展存储器系统容量的方案。 3.了解如何通过读、写存储器的指令实现对58C65ROM芯片的读、写操作。 4.加深理解存储器部件在计算机整机系统中的作用。 实验要求 1.实验之前认真预习,明确实验的目的和具体实验内容,做好实验之前的

必要准备。 2.想好实验的操作步骤,明确通过实验到底可以学习哪些知识,想一想怎么样有意识地提高教学实验的真正效果; 3.在教学实验过程中,要爱护教学实验设备,记录实验步骤中的数据和运算结果,仔细分析遇到的现象与问题,找出解决问题的办法,有意识地提高自己创新思维能力。 4.实验之后认真写出实验报告,重点在于预习时准备的内容,实验数据,运算结果的分析讨论,实验过程、遇到的现象和解决问题的办法,自己的收获体会,对改进教学实验安排的建议等。善于总结和发现问题,写好实验报告是培养实际工作能力非常重要的一个环节,应给以足够的重视。 实验说明 内存储器是计算机中存放正在运行中的程序和相关数据的部件。在教学计算机存储器部件设计中,出于简化和容易实现的目的,选用静态存储器芯片实现内存储器的存储体,包括只读存储区(ROM、存放监控程序等)和随读写存储区(RAM)两部分,ROM存储区选用4片长度8位、容量8KB的58C65芯片实现,RAM存储区选用2片长度8位、容量2KB的6116芯片实现,每2个8位的芯片合成一组用于组成16位长度的内存字,6个芯片被分成3组,其地址空间分配关系是:0-1777H用于第一组ROM,固化监控程序,2000-2777H用于RAM,保存用户程序和用户数据,其高端的一些单元作为监控程序的数据区,第二组ROM的地址范围可以由用户选择,主要用于完成扩展内存容量(存储器的字、位扩展)的教学实验。 在这里还要说明如下两个问题。 第一,要扩展8K字的存储空间,需要使用2片(每一片有8KB容量,即芯片内由8K个单元、每个单元由8个二进制位组成)存储器芯片实现。 第二,当存储器选用58C65ROM芯片时,它属于电可擦除的EPROM器件,可以通过专用的编程器软件和设备向芯片的写入相应的内容,这是正常的操作方式。也可以通过写内存的指令向芯片的指定单元写入16位的数据,只是每一次的这种写操作需要占用长得多写入时间,例如几百个微秒,可以通过运行完成等待功能的子程序来加以保证。本次试验采用的是通过写内存的指令将数据写入芯片

实验二 I2C存储器实验

I2C存储器实验 实验目的 1、了解I2C总线的工作原理 2、掌握I2C总线驱动程序的设计和调试方法 3、掌握I2C总线存储器的读写方法 实验仪器 单片机开发板、稳压电源、计算机 实验原理 1、 I2C总线常识 I2C总线采用一个双线式漏极开路接口,可在一根总线上支持多个器件和主控器。所连接的器件只会把总线拉至低电平,而决不会将其驱动至高电平。总线在外部通过一个电流源或上拉电阻器连接至一个正电源电压。当总线空闲时,两条线路均为高电平。在标准模式中,I2C 总线上的数据传输速率高达100kbit/s,而在快速模式中则高达400kbit/s。 I2C总线上的每个器件均由一个存储于该器件中的唯一地址来识别,并可被用作一个发送器或接收器(视其功能而定)。除了发送器和接收器之外,在执行数据传输时,还可把器件视作主控器或受控器。主控器是负责启动总线上的数据传输并生成时钟信号以允许执行该传输的器件。同时,任何被寻址的器件均被视作受控器。 CAT24WC01/02/04/08/16是一个1K/2K/4K/8K/16K位串行CMOS EEPROM,内部含有128/256/512/1024/2048个8位字节,CATALYST公司的先进CMOS技术实质上减少了器件的功耗,CAT24WC01有一个8字节页写缓冲器,CAT24WC02/04/08/16有一个16字节页写缓冲器,该器件通过I2C总线接口进行操作,有一个专门的写保护功能,并且器件能与400KHzI2C 总线兼容。 引脚名称和功能如图1所示。 图1 24系例I2C存储器引脚说明 通过器件地址输入端A0、A1和A2可以实现将最多8个24WC01和24WC02器件4个24WC04器件,2个24WC08器件和1个24WC16器件连接到总线上。 2、I2C总线协议 (1)只有在总线空闲时才允许启动数据传送。 (2)在数据传送过程中,当时钟线为高电平时,数据线必须保持稳定状态,不允许有跳变。时钟线为高电平时,数据线的任何电平变化将被看作总线的起始或停止信号。 (3)起始信号 时钟线保持高电平期间,数据线电平从高到低的跳变作为I2C 总线的起始信号。 (4) 停止信号 时钟线保持高电平期间,数据线电平从低到高的跳变作为I2C 总线的停止信号。I2C 总线时序:

(整理)计算机组成实验五-存储器读写实验

实验五存储器读写实验 一、实验目的 1.掌握存储器的工作特性。 2.数学静态存储器的操作过程,验证存储器的读写方法。 二、实验原理 存储器是计算机的主要部件,用来保存程序和数据。从工作方式上分类,存储器可分成易失性和非易失性存储器,易失性存储器中的数据在关电后将不复存在,非易失性储器又可分为动态存储器和静态存储器,动态存储器保存信息的时间只有2ms,工作时需要不断更新,既不断刷新数 据;静态存储器只要不断电,信息是不会丢失的。为简单起见,计算机组成实验用的是容量为2K的镜头存储器6116。 1.静态存储器芯片6116的逻辑功能 6116是一种数据宽度为8位(8个二进制位),容量为2048字节的态存储器芯片,封在24引脚的封装中,封装型式如图2-7所示。 6116芯片有8根双向三态数据线D7-D0,所谓三态是指输入状态、输 出状态和高阻状态,高阻状态数据线处于一种特殊的“断开”状态; 11根地址线A10-A0,指示芯片内部2048个存储单元号;3根控制线 片选控制信号,低电平时,芯片可进行读写操作,高电平时,芯片保存信息不能进行读写;为写入控制信号,低电平时,把数据线上 的信息存入地址线A10-A0指示的存储单元中;为输出使能控制信 号,低电平时,把地址线A10-A0指示的存储单元中的数据读出送到数 据线上。芯片控制信号逻辑功能见表2-9。 表2-9 6116芯片控制信号逻辑功能表

图2-7 存储器部件电路图 2. 3.存储器实验单元电力路 因为在计算机组成原理实验中仅用了256个存储单元,所以6116芯片的三根地址线A11-A8接地也没有多片联用问题,片选信号接地使芯片总是处于被选中状态。芯片的WE和信号分别连接实验台的存储器写信号和存储器读写信号,存储器实验单元逻辑电路如图2-7所示。这种简化了控制过程的实验电路可方便实验进行,存储器实验单元电路控制信号逻辑功能见表2-10。

计算机组成原理实验报告二半导体存储器原理实验

半导体存储器原理实验 一、实验目的: 1、掌握静态存储器的工作特性及使用方法。 2、掌握半导体随机存储器如何存储和读取数据。 二、实验要求: 按练习一和练习二的要求完成相应的操作,并填写表2.1各控制端的状态及记录表2.2的写入和读出操作过程。 三、实验方案及步骤: 1、按实验连线图接线,检查正确与否,无误后接通电源。 2、根据存储器的读写原理,按表2.1的要求,将各控制端的状态填入相应的栏中以方便实验的进行。 3、根据实验指导书里面的例子练习,然后按要求做练习一、练习二的实验并记录相关实验结果。 4、比较实验结果和理论值是否一致,如果不一致,就分析原因, 然后重做。 四、实验结果与数据处理: (1)表2.1各控制端的状态

2)练习操作 数据1:(AA)16 =(10101010)2 写入操作过程: 1)写地址操作: ①应设置输入数据的开关状态:将试验仪左下方“ INPUT DEVICE ”中的8位数据开关D7-D0 设置为00000000 即可。 ②应设置有关控制端的开关状态:先在实验仪“SWITCH UNIT ”中打开输入三态门控制端,即SW-B=0 ,打开地址寄存器存数控制信号,即LDAR=1, 关闭片选信号(CE ),写命令信号(WE )任意,即CE=1,WE=0 或1。 ③应与T3 脉冲配合可将总线上的数据作为地址输入AR 地址寄存器中:按一下微动开关START 即可。 ④应关闭AR 地址寄存器的存数控制信号:LDAR=0 。 2)写内容操作: ①应设置输入数据的开关状态:将试验仪左下方“ INPUT DEVICE ”中的8位数据开关D7-D0 设置为10101010 。 ②应设置有关控制端的开关状态:在实验仪“SWITCH UNIT ”中打开输入三态门控制端, 即SW-B=O,关闭地址寄存器存数控制信号,即LDAR=O,打开片选信号(CE )和写命令 信号(WE),即CE=0,WE=1。 ③应与T3 脉冲配合可将总线上的数据写入存储器6116的00000000地址单元中:再按一下 微动开关START 即可。 ④应关闭片选信号和写命令信号:即CE=1,WE=0。 读出操作过程: 1 )写地址操作:参考写入操作的写地址操作 2)读内容操作: ①关闭输入三态门控制端,即SW-B=1。 ②地址寄存器存数控制信号(LDAR)任意,不过最好关闭,即LDAR=0 ,防止误按脉冲信号存入数据。 ③关闭写命令信号(WE),即WE=0,打开片选信号(CE),即CE=0,不需要T3脉冲,即 不要按微动开关START。此时00000000地址的内容通过“ BUS UNIT ”中数据显示灯B7-B0 显示出来。 数据2:(55)16 =(01010101)2 写入操作过程: 1)写地址操作: ①设置输入数据的开关状态:将试验仪左下方“ INPUT DEVICE ”中的8位数据开关D7-D0 设置为

静态存储器实验报告

静态随机存储器实验 组员: 组号:21组 日期:周二5、6节

【实验目的】 掌握静态随机存储器RAM工作特性及数据的读/写方法。 【实验设备】 实验仪一台、PC机一台(观察波形) 【实验原理】 由一片6116(2K x 8)芯片、一片8位锁存器(74LS273)、一片8位三态门(74LS245)构成存储器原理图。 存储器实验原理图 由于存储器地址是由数据开关(input device)锁存在(273),存储器写数据也是由数据开关提供的,因此要分时给出地址和写数据。 因地址寄存器为8 位,所以接入6116 的地址为A7~A0,而高三位A8~A10 接地,所以其实际容量为256 字节。6116 有三个控制线:CE(片选线)、OE(读线)、WE(写线)。当片选有效(CE=0)时,OE=0 时进行读操作,WE=0 时进行写操作。本实验中将OE 常接地,在此情况下,当CE=0、WE=0 时进行读操作,CE=0、WE=1 时进行写操作,其写时间与T3 脉冲宽度一致。 实验时将T3 脉冲接至实验板上时序电路模块的TS3 相应插孔中,其脉冲宽度可调,其它电平控制信号由“SWITCH UNIT”单元的二进制开关模拟,其中SW-B 为低电平有效,LDAR 为高电平有效。 【实验步骤】 (1) 形成时钟脉冲信号T3。具体接线方法和操作步骤如下: ①接通电源,用示波器接入方波信号源的输出插孔H23,调节电位器W1 及W2 ,使H23 端输出 实验所期望的频率及占空比的方波。 ②将时序电路模块(STATE UNIT)单元中的ф和信号源单元(SIGNAL UNIT)中的H23 排针相连。 ③在时序电路模块中有两个二进制开关“STOP”和“STEP”。将“STOP”开关置为“RUN”状

西南交大 实验8 指令存储器与取指令部件的设计

实验8 指令存储器与取指令部件的设计 西南交大计算机组成原理实验(代码) 实验要求:建立256*16的指令存储器ROM,将它关联到元件IPM-Rom,有PC 值决定存储器地址,PC有清零,置数,自动加一,自动减一功能,并将指令输出到数码管显示。 实验原理:建立内存文件,256代表内存地址是8位,16代表内存数据是16位实验代码: PC: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PC is port(clk,reset,load,add:in std_logic; inn:in std_logic_vector(7 downto 0); output:buffer std_logic_vector(7 downto 0)); end; architecture one of PC is begin process(clk) begin --wt<=load&add; if clk'event and clk='1' then if reset='1' then output<="00000000"; else if load='1' then output<=inn; else if add='1' then output<=output+1; else output<=output-1; end if; end if; end if; end if; end process; end; FRQ:用于分频 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FRQ is port(clk_in:in std_logic; clk_out:out std_logic); end;

存储器的工作原理

存储器的工作原理 1、存储器构造 存储器就是用来存放数据的地方。它是利用电平的高低来存放数据的,也就是说,它存放的实际上是电平的高、低,而不是我们所习惯认为的1234这样的数字,这样,我们的一个谜团就解开了,计算机也没什么神秘的吗。 图2

图3 让我们看图2。这是一个存储器的示意图:一个存储器就像一个个的小抽屉,一个小抽屉里有八个小格子,每个小格子就是用来存放“电荷”的,电荷通过与它相连的电线传进来或释放掉,至于电荷在小格子里是怎样存的,就不用我们操心了,你可以把电线想象成水管,小格子里的电荷就像是水,那就好理解了。存储器中的每个小抽屉就是一个放数据的地方,我们称之为一个“单元”。 有了这么一个构造,我们就可以开始存放数据了,想要放进一个数据12,也就是00001100,我们只要把第二号和第三号小格子里存满电荷,而其它小格子里的电荷给放掉就行了(看图3)。可是问题出来了,看图2,一个存储器有好多单元,线是并联的,在放入电荷的时候,会将电荷放入所有的单元中,而释放电荷的时候,会把每个单元中的电荷都放掉,这样的话,不管存储器有多少个单元,都只能放同一个数,这当然不是我们所希望的,因此,要在结构上稍作变化,看图2,在每个单元上有个控制线,我想要把数据放进哪个单元,就

给一个信号这个单元的控制线,这个控制线就把开关打开,这样电荷就可以自由流动了,而其它单元控制线上没有信号,所以开关不打开,不会受到影响,这样,只要控制不同单元的控制线,就可以向各单元写入不同的数据了,同样,如果要某个单元中取数据,也只要打开相应的控制开关就行了。 2、存储器译码 那么,我们怎样来控制各个单元的控制线呢?这个还不简单,把每个单元的控制线都引到集成电路的外面不就行了吗?事情可没那么简单,一片27512存储器中有65536个单元,把每根线都引出来,这个集成电路就得有6万多个脚?不行,怎么办?要想法减少线的数量。我们有一种方法称这为译码,简单介绍一下:一根线可以代表2种状态,2根线可以代表4种状态,3根线可以代表几种,256种状态又需要几根线代表?8种,8根线,所以65536种状态我们只需要16根线就可以代表了。 3、存储器的选片及总线的概念 至此,译码的问题解决了,让我们再来关注另外一个问题。送入每个单元的八根线是用从什么地方来的呢?它就是从计算机上接过来的,一般地,这八根线除了接一个存储器之外,还要接其它的器件,如图4所示。这样问题就出来了,这八根线既然不是存储器和计算机之间专用的,如果总是将某个单元接在这八根线上,就不好了,比如这个存储器单元中的数值是0FFH另一个存储器的单元是00H,那么

实验一 存储器实验

实验一存储器实验 1.FPGA中LPM_ROM定制与读出实验 一.实验目的 1、掌握FPGA中lpm_ROM的设置,作为只读存储器ROM的工作特性与配置方法。 2、用文本编辑器编辑mif文件配置ROM,学习将程序代码以mif格式文件加载于 lpm_ROM中; 3、在初始化存储器编辑窗口编辑mif文件配置ROM; 4、验证FPGA中mega_lpm_ROM的功能。 二.实验原理 ALTERA的FPGA中有许多可调用的LPM (Library Parameterized Modules)参数化的模块库,可构成如lpm_rom、lpm_ram_io、lpm_fifo、lpm_ram_dq的存储器结构。CPU 中的重要部件,如RAM、ROM可直接调用她们构成,因此在FPGA中利用嵌入式阵列块EAB 可以构成各种结构的存储器,lpm_ROM就是其中的一种。lpm_ROM有5组信号:地址信号address[ ]、数据信号q[ ]、时钟信号inclock、outclock、允许信号memenable,其参数都就是可以设定的。由于ROM就是只读存储器,所以它的数据口就是单向的输出端口,ROM中的数据就是在对FPGA现场配置时,通过配置文件一起写入存储单元的。图3-1-1中的lpm_ROM有3组信号:inclk——输入时钟脉冲;q[23、、0]——lpm_ROM的24位数据输出端;a[5、、0]——lpm_ROM的6位读出地址。 实验中主要应掌握以下三方面的内容: ⑴ lpm_ROM的参数设置; ⑵ lpm_ROM中数据的写入,即LPM_FILE初始化文件的编写; ⑶lpm_ROM的实际应用,在GW48_CP+实验台上的调试方法。 三.实验步骤 (1)用图形编辑,进入mega_lpm元件库,调用lpm_rom元件,设置地址总线宽度address[] 与数据总线宽度q[],分别为6位与24位,并添加输入输出引脚,如图3-1-1设置与连接。 (2)设置图3-1-1为工程。 (3)在设置lpm_rom数据参数选择项lpm_file的对应窗口中(图3-1-2),用键盘输入 lpm_ROM配置文件的路径(rom_a、mif),然后设置在系统ROM/RAM读写允许,以便能

存储器和IO扩展实验,计算机组成原理

科技学院 课程设计实验报告 ( 2014--2015年度第一学期) 名称:计算机组成原理综合实验题目:存储器和I/O扩展实验 院系:信息工程系 班级: 学号: 学生姓名: 指导教师:李梅王晓霞 设计周数:一周 成绩: 日期:2015 年1 月

一、目的与要求 1. 内存储器部件实验 (1)熟悉ROM芯片和RAM芯片在功能和使用方法等方面的相同和差异之处;学习用编程器设备向EEPROM芯片内写入一批数据的过程和方法。 (2)理解并熟悉通过字、位扩展技术实现扩展存储器系统容量的方案; (3)了解静态存储器系统使用的各种控制信号之间正常的时序关系; (4)了解如何通过读、写存储器的指令实现对58C65 ROM芯片的读、写操作; (5)加深理解存储器部件在计算机整机系统中的作用。 2. I/O口扩展实验 学习串行口的正确设置和使用。 二、实验正文 1.主存储器实验内容 1.1实验的教学计算机的存储器部件设计(说明只读存储器的容量、随机读写器的容量,各选用了什么型号及规格的芯片、以及地址空间的分布) 在教学计算机存储器部件设计中,出于简化和容易实现的目的,选用静态存储器芯片实现内存储器的存储体,包括唯读存储区(ROM,存放监控程序等) 和随读写存储区(RAM)两部分,ROM存储区选用4片长度8位、容量8KB 的58C65芯片实现,RAM存储区选用2片长度8位、容量2KB的6116芯片 实现,每2个8位的芯片合成一组用于组成16位长度的内存字,6个芯片被分 成3组,其地址空间分配关系是:0-1777h用于第一组ROM,固化监控程序, 2000-2777h用于RAM,保存用户程序和用户数据,其高端的一些单元作为监 控程序的数据区,第二组ROM的地址范围可以由用户选择,主要用于完成扩 展内存容量(存储器的字、位扩展)的教学实验。 1.2扩展8K字的存储空间,需要多少片58C65芯片,58C65芯片进行读写时的特殊要求 要扩展8K字的存储空间,需要使用2片(每一片有8KB容量,即芯片内由8192个单元、每个单元由8个二进制位组成)存储器芯片实现。对 58C65 ROM芯片执行读操作时,需要保证正确的片选信号(/CE)为低点平, 使能控制信号(/OE)为低电平,读写命令信号(/WE)为高电平,读58C65 ROM 芯片的读出时间与读RAM芯片的读出时间相同,无特殊要求;对58C65 ROM 芯片执行写操作时,需要保证正确的片选信号(/CE)为低电平,使能控制信 号(/OE)为高电平,读写命令信号(/WE)为低电平,写58C65 ROM芯片的 维持时间要比写RAM芯片的操作时间长得多。为了防止对58C65 ROM芯片执 行误写操作,可通过把芯片的使能控制引脚(/OE)接地来保证,或者确保读 写命令信号(/WE)恒为高电平。 1.3在实验中思考为何能用E命令直接写58C65芯片的存储单元,而A命令则有时不正确;

有机浮栅存储器的工作原理

有机浮栅存储器的工作原理 1.1 有机场效应晶体管(OFET)的基本结构和工作原理 1.1.1 有机场效应晶体管的基本结构 有机场效应晶体管的具有很多的优点:材料来源广、可以大量生产和能够实现低成本、可与柔性衬底兼容。应用前景十分广泛,如有机集成电路、存储器件、柔性显示屏等。自20世纪80年代有机场效应晶体管诞生,有机场效应晶体管得到迅速发展,到目前为止,一些有机场效应晶体管已经得到实用化的程度,在载流子迁移率、开关电流比方面已经可与非晶硅相媲美。 有机场效应晶体管按照源漏极和有机半导体的相对位置有两种结构(图2-1)底接触和顶接触,按照沟道中起传输作用的载流子的种类的不同,可以分为两种:n沟道场效应晶体管和p沟道场效应晶体管[8,9]。 图2-1 两种OFET结构:顶接触(左) 底接触(右) 1.1.2 有机场效应晶体管的工作原理 有机场效应晶体管的工作原理与无机场效应晶体管的工作原理类似。下面通过对一个顶接触的p-沟的OFET进行分析,如图2-2所示:

图2-2 有机场效应管的原理示意图 我们在栅极上施加一个相对于源极的负偏压时(源极是接地的),栅极表面出现负电荷,相应的在沟道表面感应出正电荷。当增大栅极电压时,在沟道表面形成积累层并进而形成含有可动载流子-空穴-的薄层,源漏之间的电流主要是由空穴贡献,这是与无机场效应晶体管最大的不同,通过控制栅极电压来改变沟道中空穴的数量,进而控制漏极电流[10]。 由于我们使用的是有机材料作为有源区,我们在引用传统的EEPROM的模型时必须要进行修改。在本文中,我们考虑了Pool-Frenkel效应[11],在半导体和绝缘层接触面的电荷,接触势垒,陷阱效应,采用修正以后的漂移-扩散模型(DDM)[12],借助TCAD求解泊松方程和连续性方程(2-1),(2-2),(2-3)[13],来模拟有机场效应晶体管的电学特性。 其中为静电势,为有机材料的介电常数,G为产生率, 和分别为捕获的电子和空穴的密度,和分别为电子和空穴的 电流密度。R是电子和空穴的复合率。[14,15],

实验二:SRAM 静态随机存储器实验

《计算机组成原理》 实验报告 实验二:SRAM 静态随机存储器实验 学院: 专业: 班级学号: 学生姓名: 实验日期: 指导老师: 成绩评定: 计算机学院计算机组成原理实验室

实验二 一、实验名称:SRAM 静态随机存储器实验 二、实验目的: 掌握静态随机存储器RAM工作特性及数据的读写方法。 三、实验内容: 1、向存储器中指定的地址单元输入数据,地址先输入AR寄存器,在地址灯上显示;再将数据送入总线后,存到指定的存储单元,数据在数据显示灯显示。 2、从存储器中指定的地址单元读出数据, 地址先输入AR寄存器,在地址灯显示; 读出的数据送入总线, 通过数据显示灯显示。 四、实验设备: PC机一台,TD-CMA实验系统一套。 五、实验步骤: 1、关闭实验系统电源,按图2-4 连接实验电路,并检查无误,图中将用户需要连接的信号用圆圈标明。 2、将时序与操作台单元的开关KK1、KK3 置为运行档、开关KK2 置为…单步?档。 3、将CON 单元的IOR 开关置为1(使IN 单元无输出),打开电源开关,如果听到有…嘀?报警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。

图2-4 4、给存储器的00H、01H、02H、03H、04H 地址单元中分别写入数据11H、12H、13H、14H、15H。 由前面的存储器实验原理图(图2-1-3)可以看出,由于数据和地址由同一个数据开关给出,因此数据和地址要分时写入,先写地址,具体操作步骤为:先关掉存储器的读写(WR=0,RD=0),数据开关输出地址(IOR=0),然后打开地址寄存器门控信号(LDAR=1),按动ST 产生T3 脉冲,即将地址打入到AR 中。再写数据,具体操作步骤为:先关掉存储器的读写(WR=0,RD=0)和地址寄存器门控信号(LDAR=0),数据开关输出要写入的数据,

实验二数据存储实验

实验二数据存储实验 一、实验目的 1、掌握TMS320C54的程序空间的分配; 2、掌握TMS320C54的数据空间的分配; 3、熟悉操作TMS320C54数据空间的指令。 二、实验设备 计算机,CCS 2.0版软件,DSP仿真器,实验箱。 三、实验原理 本实验指导书是以TMS320C5416为例,介绍相关的内部和外部存储器资源。对于其他类型的CPU请参考查阅相关的数据手册。 下面给出TMS320C5416的存储器分配表: 对于数据存储空间而言,映射表相对固定。值得注意的是内部寄存器都映射到数据存储空间内。因此在编程应用是这些特定的空间不能作其他用途。对于程序存储空间而言,其映射表和CPU的工作模式有关。当MP/MC引脚为高电平时,CPU工作在微处理器模式;当MP/MC引脚低电平时,CPU工作在为计算机模式。具体的存储器映射关系如上如所示。 存储器试验主要帮助用户了解存储器的操作和DSP的内部双总线结构。并熟悉相关的指令代码和操作等。 四、实验步骤与内容 1、连接好DSP开发系统,运行CCS软件;

2、在CCS的Memory窗口中查找C5416各个区段的数据存储器地址,在可以改变 的数据地址随意改变其中内容; 3、在CCS 中装载实验示范程序,单步执行程序,观察程序中写入和读出的数据存储地址的变化; 4、联系其他寻址方式的使用。 5、样例程序实验操作说明 启动CCS 2.0,并加载“exp02.out”; 图2.1 加载out文件 用“View”下拉菜单中的“Memory”查看内存单元;

图2.2 memory视图菜单 输入要查看的内存单元地址,本实验要查看0x1000H~0x100FH单元的数值变化,输 入地址0x1000H; 图2.3 memory 参数设置窗 查看0x1000H~0x100FH 单元的初始值,单击“Run”运行程序,也可以“单步”运 行程序;

1计算机组成原理实验3_内存储器部件实验

《计算机原理实验》实验报告3 内存储器部件实验 一、实验结果 (一)存储器读写测试验证 1、用E命令改变内存单元的值并用D命令观察结果 (1)在命令行提示符状态下输入:E 2020↙ 屏幕将显示2020内存单元原值为: 按如下形式键入:2020 原值:2222 (空格)原值:3333(空格)原值:4444(空格)原值:5555↙(2)在命令行提示符状态下输入:D 2020↙ 屏幕将显示从2020内存单元开始的值,其中2020H~2023H的值为: 2222 3333 4444 5555 (3)断电后重新启动教学实验机,用D命令观察内存单元2020~2023的值。 2020H~2023H的值为:0400 BFFF 0000 FFEF 说明了RAM 断电数据易丢失。 2、用A命令输入一段程序,执行并观察结果 (1)在命令行提示符状态下输入: A 2000↙ 屏幕将显示:2000: 按如下形式键入: 2000:MVRD R0,AAAA 2002:MVRD R1,5555 2004:AND R0,R1 2005:RET 2006:↙ (2)在命令行提示符状态下输入: T 2000 ↙

R0的值变为:AAAA T↙ R1的值变为:5555 T↙ R0的值变为:0000 (3)在命令行提示符状态下输入: G 2000 运行输入的程序。 (4)在命令行提示符状态下输入: R ↙ 屏幕显示: R0=0000 R1= 5555 R2= 00BF 二、思考题 1、深入理解内存分成ROM存储区和RAM存储区两部分的理由。 答:ROM是只读存储器的简称,是一种只能读出事先所存数据的固态半导体存储器,其特性是一旦储存资料就无法再将至改变或删除。RAM是随机存储器,存储单元的内容可按需随意取出或存入,且存取的速度与存储单元的位置无关的存储器,这种存储器断电时将丢失其存贮内容,故主要用于存储段时间使用的程序。

计算机组成原理实验五存储器读写实验

实验五 存储器读写实验实验目的 1. 掌握存储器的工作特性。 2. 熟悉静态存储器的操作过程,验证存储器的读写方法。 二、实验原理 表芯片控制信号逻辑功能表

2. 存储器实验单元电路 芯片状态 控制信号状态 DO-D7 数据状态 M-R M -W 保持 1 1 高阻抗 读出 0 1 6116-^总钱 写人 1 0 总线-*6116 无效 报警 ^2-10 D7—DO A7—A0

團2-8存储器实验电路逻辑图 三、实验过程 1. 连线 1) 连接实验一(输入、输出实验)的全部连线。 2) 按逻辑原理图连接M-W M-R 两根信号低电平有效信号线 3) 连接A7-A0 8根地址线。 4) 连接B-AR 正脉冲有效信号 2. 顺序写入存储器单元实验操作过程 1) 把有B-AR 控制开关全部拨到0,把有其他开关全部拨到1,使全部信号都处 于无效 状态。 2) 在输入数据开关拨一个实验数据,如“ 00000001”即16进制的01耳 把IO-R 控制开关拨下,把地址数据送到总线。 3) 拨动一下B-AR 开关,即实现“1-0-1 ”产生一个正脉冲,把地址数据送地 址寄存器保存。 4) 在输入数据开关拨一个实验数据,如“ 10000000',即16进制的80耳 把IO-R 控 制开关拨下,把实验数据送到总线。 3. 存储器实验电路 0 O O 0 0 olo O O O O 0 00 OUTPUT L/O :W 8-AR £ ■」2 ■七 ol^Fgr' L P O 74LS273 A7- AO vz 0 o|o 0 r 6116 A7 INPUT D7-O0 [olololololololol T2

存储器实验报告

计组实验三实验报告 实验日期:2015 年4 月14 日学号:201308010227 姓名:吴晗 实验名称:存储器实验总分: 一.实验内容 1.随机存储器RAM的工作特性及使用方法 2.RAM数据存储和读取的工作原理 3.LPM类存储元件的定制 二.实验原理 原理图:

三.实验电路图: RAM电路图: addr[7..0]:地址输入 clk:时钟信号 we,rd;mem:控制信号,高电平有效 Initial_file.mif文件: 存储器电路图: i[7..0]:数据输入l[7..0]:总线数据 sw_bus:pc_bus:三态门控制信号 ld161,clr161,pc161:74161控制信号,控制置数,读取,清零,计数 ldar:74273控制信号 w,r,m:RAM控制信号

仿真图: 仿真说明: 时间参数:End Time:2.0us Grid Size:100ns 端口说明: clk:时钟信号 i[7..0]:数据输入l[7..0]:总线数据 sw_bus:pc_bus:三态门控制信号,控制数据输出到总线 ld161,clr161,pc161:74161控制信号,控制置数,读取,清零,计数 ldar:74273控制数据从总线读入 w,r,m:RAM控制信号 仿真说明: 0-100ns:无操作 100-200ns:sw_bus为0有效,从i读入01,74161置数状态,RAM默认状态,总线

数据01 200-300ns:sw_bus为0有效,pc_bus无效,从i读入01,74161保持状态,RAM默认状态,总线数据01 300-400ns:pc_bus为0有效,sw_bus无效,74161保持状态,RAM默认状态,总线数据01,ldar为1,跳入下一个地址 400-500ns:sw,pc_bus无效,74161保持状态,RAM读取状态,读取E1,总线E1 500-600ns:sw,pc_bus无效,74161保持状态,RAM读取状态,读取D2,总线D2 600-700ns:sw,pc_bus无效,74161保持状态,RAM写入状态,总线输入EE,写入RAM 700-800ns:sw,pc_bus无效,74161保持状态,RAM读取状态,读取EE,总线EE 800-900ns:pc_bus为0有效,sw_bus无效,74161计数加1状态,RAM默认状态,总线数据01在上升沿时变为02 900-1000ns:pc_bus为0有效,sw_bus无效,74161计数加1状态,RAM默认状态,总线数据02在上升沿时变为03,ldar为1,跳入下一个地址1000-1100ns:sw,pc_bus无效,74161保持状态,RAM读取状态,读取F3,总线EE在上升沿变为F3 1100-1200ns:sw,pc_bus无效,74161保持状态,RAM读取状态,读取F3,总线F3 仿真结论:仿真结果与理论相符,仿真成功 五.硬件验证 管脚分配: 选择FLEX10K-EPF10K20TC144-4器件下载验证与仿真结果相符,实验成功。

相关主题
文本预览
相关文档 最新文档