当前位置:文档之家› 循环彩灯控制器课程设计之欧阳家百创编

循环彩灯控制器课程设计之欧阳家百创编

循环彩灯控制器课程设计之欧阳家百创编
循环彩灯控制器课程设计之欧阳家百创编

数字电路课程设计报告

欧阳家百(2021.03.07)

课程名称:循环彩灯控制器设计题目:循环彩灯控制器

院(部):机械与电子工程学院

专业:

学生姓名:

学号:

班级:

日期:

指导教师:

课程设计任务书

目录

1.摘要 (4)

2.关键字 (4)

3.设计背景 (4)

3.1 了解数字电路系统的定义及组成 (4)

3.2 掌握时钟电路的作用及基本构成 (4)

4.设计方案的选择 (5)

5.单元电路的设计 (6)

5.1 花型控制电路的设计 (6)

5.2 花型演示电路的设计 (10)

5.3 节拍控制电路的设计 (10)

5.4 时钟信号电路的设计 (11)

6.总体电路图 (12)

7.各个单元电路的输入输出波形 (12)

8.电路调试 (15)

9.元器件清单 (16)

10.分析与总结 (17)

11.致谢 (19)

12.参考文献 (19)

13.指导教师评语 (20)

循环彩灯控制器的设计

1.摘要

本次循环彩灯的设计制作由时钟信号CP电路、花型控制电路、花型演示电路、节拍控制电路构成的集成电路来实现,其中

花型控制电路由1614位二进制同步计数器完成,花型演示电路由195 双向移位寄存器完成(可左移右移完成花型变化),节拍变化由151八选一数据选择器完成,节拍的快慢变化可有74双上升沿D触发器完成,它可实现二分频。

2.关键字

循环彩灯、时钟信号CP电路、花型控制电路、花型演示电路、节拍控制电路。

3.设计背景

3.1了解数字电路系统的定义及组成

数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。输入电路主要作用是将被控信号转换成数字信号,其形式包括各种输入接口电路。比如数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理的数字信号。模拟信号则需要通过模数转换电路转换成数字信号再进行处理。在设计输入电路时,必须首先了解输入信号的性质,接口的条件,以设计合适的输入接口电路。

3.2掌握时钟电路的作用及基本构成

时钟电路是数字电路系统中的灵魂,它属于一种控制电路,整个系统都在它的控制下按一定的规律工作。时钟电路包括主时钟振荡电路及经分频后形成各种时钟脉冲的电路。比如多路可编程控制器中的555 多谐振荡电路,数字频率计中的基准时间形成电路等都属于时钟电路。设计时钟电路,应根据系统的要求首先确定主时钟的频率,并注意与其他控制信号结合产生系统所需的各种时钟脉冲。

4.设计方案的选择

针对题目设计要求,经过分析与思考,拟定以下二种方案:方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制;第三块实现时钟信号的产生。

主体框图如下:

方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。

主体框图如下:

根据所提供的实验器材各模块总体思路如下:

时钟信号CP电路:参见高等教育出版社王淑银主编的《数字电路与逻辑设计》课本P404图10-3-6(a);

花型控制电路:由1614位二进制同步计数器完成;

花型演示电路:由195 双向移位寄存器完成(可左移右移完成花型变化);

节拍控制电路:节拍变化由151八选一数据选择器完成,节拍的快慢变化可有74双上升沿D触发器完成,它可实现二分频。

总体方案的选择

方案一与方案二最大的不同就在,方案一是基于基本要求而设计的,方案二加入了节拍的变化,花型控制电路和花型演示电路的CP都是节拍控制之后的CP。

两种方案的基本思路相同,将整个设计电路的功能模块化,设计思想比较简单。元件种类使用少,且都较熟悉易于组装电路。这么设计的出发点是:电路设计模块化,易于检查电路,对后面的电路组装及电路调试都很方便。。花型控制电路简单,花型也比较简单。

由于在设计的构想时期,已经确定将电路模块化,设计的过程中又已经将节拍控制电路设计出来,通过仿真软件也实现了设计要求——分频。方案二同时完成了选做的要求,只要确保每一模块实现其功能方案二并不难也不复杂,为了确保短时间内完成课程设计和高效率,我选择了方案二。

5.单元电路的设计

5.1 花型控制电路

由二片移位寄存器194实现。其八个输出信号端连接八个发光二极管,用其输出信号控制发光二级管的亮灭实现花型演示。而花型之间的变化通过花型控制电路的输出即161级联的计数器输出控制(它们由同一个CP脉冲控制)。

三种花型变换样式

花型1:8路灯分两半。从左至右渐亮,全亮后,再分两半从左至右渐灭。循环两次;

花型2:从中间到两边对称地逐次渐亮,全亮后仍由中间到两边逐次渐灭。循环两次;

花型3:从左至右顺次渐亮。全亮后逆序渐灭。循环两次。

移存器输出状态编码表

我的设计是每种花型完整显示两遍,所以三种花型完全显示一遍需要的总结拍数为64,即1~16显示第一个花型,17~32显示第二个花型,33~64显示第三个花型。

要用194实现三个花型的连续显示必须对两片194的S1、S0和SL 、SR 一句节拍的变化进行相应的改变,通过161的输出反馈来控制经过观察每16个CP 低位片输出Q1—Q4变化比较频繁,根据变化的花型频率选用高位片的Q5—Q6去控制194的SL 、SR 、S1、S0的变化从而实现滑行的变化。现将两片194分为低位片1和高位片2,再将其输出端从低位到高位记为L1~L8。列出各花型和其对应的194的S1、S0、SL 、SR 的输入信号及节拍控制信号列表如下:(用^Li 表示Li 的取非)

列出卡诺图分析 194低位片

SL=^L8 S1=Q E . ^ Q F

SR=^L8SL=^ ( Q E . ^Q F ) 194高位片

SL=XSR=^ Q F

.^L8+ Q F .L4

S1=0S0=1

由上图分析可以得到控制194高、低位片的左移右移变化控制端S1、S0以及串行输入端的由161的输出端Q E 、Q F 和本身输出端L4和^L8控制。用去Q A —Q H 表示161从低位到高位的个输出端。 控制结果表达式如下:

电路图如下:

5.2花型演示电路

由二片161级联的模128(三种花型节拍每种显示两遍,再总体重复一遍的总节拍数)计数器。

161的级联用的是同步,并用^QH清零。

当三种花型全新显示一遍后(总共64拍)161的输出变为00000100所以将161高位片的Q2(即Q G)信号输给节拍控制电路的151的A来通过节拍控制电路改变第二遍花型显示的频率。161的CP脉冲来自节拍控制电路中74的输出端Y。

电路图如下:

5.3 节拍控制电路

由一片151和一片74级联实现。整体上实现脉冲频率的变换,即交替产生快慢节拍。

令74的Vcc,CLR,PR都接高电平,将^Q的输出接到D端,Q 端的输出接到151的D1端。令151的B,C,G’,GND接低电平,Vcc接高电平,D0接时钟信号的CP脉冲,A端接由花型控制电路的QG输出。

所以Y端的输出就为:Y=CP·^A+Q·A

(Q是74D触发器的输出端)由D触发器具有记忆功能,记录上一个状态,所以在每一个CP脉冲的上升沿,Q输出为上一次的记录(即一个脉冲)。也就比时钟信号电路的CP脉冲慢了一拍。

所以通过A为0或1选择Y端输出的脉冲的频率。A端接的是161的高位片的QG即当到达第64拍时QG为1接下来的65~128拍为变慢后的脉冲输出。

电路图如下:

5.4 时钟信号电路

由一片555加上适当电容及电阻实现。

电容取:4.7μf 0.01μf

电阻取:150 kΩ 4.7 kΩ

电路图如下:

6.总体电路图

注:由于仿真画图时芯片复杂,CP产生电路产生用电源代替(已试验,可以等效)。

7.各个单元电路输入输出波形

1.基本CP脉冲产生电路波形图与分频电路波形图

2. 测试波形: (列依次为CP脉冲,低位片194A,B,C,D,高位片

194A,B,C,D即Q A---Q H)

花型一:

花型二:

花型三:

8.电路调试

用194去实现一个花型很简单,如果是三个分开也难,但是本次设计要同时实现三个花形,是通过151来选择吗?是手动吗?手动有些不切实际。经过思考没有得到解决,只觉得161的计数器如果不去反馈控制194的变化,那么它的存在价值不大,接下来我看了一些参考书籍,大概有了自己的思路,于是开始设计,我用了<<数字系统设计——数字电路课程设计指南>> (北京

邮电学院出版社高书莉编)所提供的前两种,第三种是我自己设计的。所以刚开始的几天就一直在想怎样将三种花型衔接起来,但是书中介绍比较组略,只知道S1、S0、SL、SR不是直接输入的。还是在思考一下,如果给161和194的CP是同步的那么就每来一个上升沿161计数一次同时194的变化一次,每个花型需要的CP脉冲数是固定的,也就是说只要通过计数器的反馈就可以控制滑行之间的转换。但是161的输出端有8个,具体怎么控制呢?想不通的,就回归到数电中设计的基本思路,列出状态转移表,来找规律。通过观察终于成功用两个161的输出端来反馈,这样电路就非常简单了,还能实现功能。好不容易设计好了,却发现与非门和非门不够用,还有哪里可以化简呢?对,194输出端反馈,两个片子串行输入端有时输入的是一样的,还可以进一步简化,着用就用了最少的与非门和非门实现。

由于已经设计好,便开始进行最近单元——CP脉冲产生电路。因为书上有完好的电路图直接照着连就行。可是问题并不是想的那么简单,因为我一开始操作就不知道怎样布线才合理,常出现看着电路图不知道这条线该走哪儿连过去,看过老师的示范电路板的布线后,自己也那样连线,尽量走直线。连完时钟电路后,满以为会很成功,因为我的电路连得很简洁。结果是加电后LED二极管居然常不亮,检查电路没什么问题,我开始不知所措,万用表电池还么有配备,因此无法检测哪里出错了,于是我把电路重新连了一遍。布线特别的好,后来老师看到还说我做得

好,可是连接电源,还是不行。于是去咨询其他同学,她也有类似问题,换一个555就行了,于是我也换了55芯片,就好了。

可是第三天再加上电源又不对了,LED二极管居然常是常亮,检查电路没什么问题,而且好多同学都有和我一样的问题,有同学说那可能是线的而问题,也可能是板子的问题,也可能是电容的正负极插反了…不管是什么问题,一个个排除吧。最终其实也没很确切的知道问题的所在,因为不同的方法都在试具体是哪个也不确定了。我觉得最可能是电容的正负极和LED的插稳与否的问题。于是我检测了电路测试了电位,都正确。老师过来看时让我加电他看看,结果居然是我给的电压太高了,我在加电是不小心把电压调的太高了,自己还没意识到那个问题,幸好没把芯片烧坏。

在接下来的几天中似乎对电路着迷了,放学了也继续做,一个模块一个模块儿的实现,在电路组装过程中,看到遇到的最大问题是,芯片分布不够合理,无法很好的布线。于是在分析了我的设计后计算了要用芯片的个数和个芯片之间的关系,按照各个控制电路的走向较合理的插好了芯片。其次就是布线,因为要求不准交叉,且横平竖直,所以在保证连通的情况下,在布线上也下了不少工夫,做到了我所见过的电路里最清晰和整齐的,对于检查和排错非常的方便。唯一不足就是用线的颜色有点混乱,因为前后发的线的颜色不同,非我力所能及。

调试过程中,第一轮用万用表欧姆档测试,就遇了实验板上有插孔不通的情况,导致芯片不能正常工作。相对于别的办法,

我选择了导线显示连通,因为其更明晰,更易实现。对于高阻导线则只能换掉。第二轮接电后,用万用表的电压档测试单元电路的状态。如:时钟信号电路的信号是否正常产生,控制信号电路中的计数器能否正常计数,D触发器能否每2拍翻转一次,最后在整体上测试一遍。

在整个调试完成后,却遇到的新问题:彩灯演示时有时正常有时混乱。在排除其它可能的情况下,我仔细检查各端子的连接情况,发现清“0”端在清“0”后悬空了。将其插到电源正极后,发现问题解决了。

之所以我比别人先设计好,甚至我们班做相同设计的好多同学都是我讲解后设计的,我却比别人后完成,是因为我的面包板不好,不只一个芯片无法放置好,找很多个位置都不行,最后不得不等最先完成的同学拆了再重新连线和再次测验。而发现这些问题就有些晚。所以尽管最后一遍效率高,也是周五下午才完成,第二周一造成才去检查。

9.元器件清单

74LS161(四位二进制同步计数器) ---------------------------- 2个;

74LS194(移位寄存器) ----------------------------------- 2个;

74LS151(八选一数据选择器) -------------------------------- 1

个;

74LS74(双D 触发器) --------------------------------------- 1个;

74LS00(四二输入与非门) ---------------------------- ------1个;

74LS04(六非门) ------------------------------------------1个;

发光二极管--------------------------------------------- -----8个;

555 ---------------------------------------------------- 1个;

电容: 4.7μf ---------------------------------------------1个;

0.01μf -----------------------------------------------1个;

电阻:150kΩ ------------------------------------------------------------ --------1个;

100Ω ----------------------------------------------4个;

4.7kΩ ------------------------------------------------1个;

实验板一块;万用表一个;钳子一个;导线若干。

10.分析与总结

从本次课程设计中我收获很多。可以总结为以下的几点:

一.巩固数电知识

这次课程设计主要是运用数字电路逻辑设计的一些相关知识,在整个实习过程中,都离不开对数字电路课程知识的再学习。我在最开始,就先将实习用到的知识通过翻阅数电书回顾了一遍(这也是对这门课的复习,给以后的复习备考减少了很多负担),这样的回顾让我对知识的理解更加透彻,对后来的快速设计起了很好的铺垫作用。而且还参考了数字电路实验指导书,关于芯片的管脚,里面有清晰的描述。

二.学会用电路板、芯片、导线等组装各种功能的电路;

虽然这不是第一次用电路板,因为之前的课内实验也用过,但当时的运用也只是插些导线和电阻电容之类的,用了电路板的

很小部分。这次的实习中应用了整块板子,实习后对电路板的组成完全了解了,并能熟练运用。实习中通过对电路的连接也懂得了如何通过设计的分析对所连电路的整体布局,如何更好的放置芯片在最合适的位置。在导线的连接上,如何选择导线走向是关键,我们应该尽量保证所连电路的简捷,宁短勿长,合理布线。一个完美的作品不仅要能很好的完成要求实现功能,还要在感官上给人美的享受。所以站在美的角度对自己的电路进行改良是很必要的。

三.理论联系实际

据老师介绍,这是大学里唯一一次比较大型的动手实践机会。我当然不会错过。课程设计,通过选择的题目,根据要求,运用所学知识将其付诸实践来完成。这并不是在课堂上的单纯听懂,或者课后看书过程中的深入理解,这需要的是一种理论联系实践的能力。理论知识往往都是在一些理想状态下的假设论,而实际的动手操作则完全不同,需要考虑实际中的很多问题。有些知识在理论上可能完全没错但到了实际中则不然。比如在动笔做题时我们是不用考虑导线、电阻是否连接的牢固合理,但在实际中,导线电阻有时是会带来时延造成花型变化的错乱,所以我们应尽量在连接电路时选择最短路径。平时试验中,我就很认真,所以会比平时不动手的要轻松地多。

四.和同学共同合作、互相学习、共同进步

最初大家没思路的时候,特别的着急,我想出来了,大家问我,我就说了我的思路,我们不是抄袭,只是探讨,们个人都理

解了才开始设计,我做的循环两遍,他们的都不是。我们的设计不同,我只是给大家启发了一个思考点。理论上我先设计出来,但在实习中经常会遇到一些自己可能暂时无法想明白的问题,请教同学或老师是很好的做法,节省时间,也会从别人身上学到更多。在设计时和同学相互交流各自的想法也是很重要的,不同的人对问题的看法总有差异,我们可以从交流中获得不同的idea,其他人的设计也可能有比你出色的地方,很好的借鉴,并在大家的商讨中选择最优方案最终一定会得到最好的设计方法。

总结

本次试验中包括CP的产生电路用的555芯片,基本电路我用了7个芯片(一片555、两片161、两片194、一片00、一片04),分频电路用74和151实现,一共用了9个芯片。是目前我想出的用最少的器件,最简单的布线来实现所要求的功能。

从电路图的设计、实现、仿真、实验报告,都是自己思考和动手。掌握了ModelSim软件的操作,用所学习过的芯片设计电路,并用面包板来实现,实现的过程中排错、检查的能力也得到锻炼。

总而言之,好好利用了学校给我们提供的此次实习的机会,努力按要求完成了任务,提高了自己的综合思考能力和动手实践能。

11.致谢

感觉在这段时间中自己学会了很多东西,如设计电路最重要

的是思路要清晰,一旦有了自己的思路就应该有层次有条理的探索下去,只要坚持自己的观点和判断,就一定能实现,即便最后发现走进了死胡同,但是探索设想与求证的过程却是通往另一条道路必不可少的环节。

经过这次试验,终于感觉到了理论与实际的差别有多大了,当然这个过程也是非常的考验人的,不仅仅是考察你的理论知识,动手能力,以及检查问题并解决问题的能力,更是在考验一个人的耐心,细心。在连接电路的过程中,丝毫不得马虎,必须清楚的了解每个芯片的管脚分布图,功能表。

说实话,在实验过程中出现问题并不可怕,可怕的是在反复的受挫中没有革新,不能改进自己的方法和思路。因此自己应该具有创新思维。

通过这次课程设计,我对电子技术中的诸多知识有了更深层次的理解,也初步学会了如何将理论知识有机地与实际结合加以运用。这是一次获益匪浅的实习。

12.参考文献

[1]<<数字电路逻辑设计>> ----------------------------------高等教育出版社王毓银编

[2]<<数字系统设计——数字电路课程设计指南>> --------北京邮电学院出版社高书莉编

[3]童诗白.模拟电子技术基础[M].北京:高等教育出版社,2005.

[4]臧春华.电子线路设计与应用[M].北京:高等教育出版社,2005.

[5]邱关源罗先觉.电路(第五版)[M].北京:高等教育出版社,2006.

[6]阎石.数字电子技术(第五版)[M].北京:高等教育出版社,2005.

[7]张阳天韩异凡Protel DXP电路设计[M].北京:高等教育出版社,2005

彩灯控制器课程设计汇本报告

数字电子技术课程设计报告 专业名称: 班级: 姓名: 学号: 日期:

目录 一、设计要求 (1) 二、设计的作用、目的 (1) 三、设计的具体实现 (1) 1、系统概述 (1) 2、单元电路设计、分析与仿真 (2) 3、电路的安装与调试 (6) 四、心得体会及建议 (7) 五、附录 (7) 六、参考文献 (8)

设计报告 一、设计要求 说明:1.以十个指示灯作为显示器件,能自动的从左到右、从右到左的依次被点亮,如此周而复始,不断循环。 2.打开电源时可自动清零,每个指示灯被点亮的时间约为0.5S—2S 围。 3.用计算机画出电路设计图,进行仿真分析验证其正确性。 4.写设计说明书一份。 二、设计的作用、目的 1、为了培养学生运用相关课程的基础理论和技能解决实际问题,并进一步提高学生抓也基本技能、创新能力的重要实践教学环节。 2、培养学生正确的设计思想与方法、严谨的科学态度和良好的工作作风。 3、通过课程设计,学生受到设计与写作方法的初步训练,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计。 4、巩固、深化和扩展学生的理论知识与初步的专业技能。 5、熟悉各种芯片的功能和应用。 6、完成彩灯控制器的设计,绘出电路原理图。 7、调试电路,是否能正常工作。 理工大学课程设计:彩灯控制器 三、设计的具体实现 1)系统概述

此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路计数译码驱动电路显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图二所示。 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。

课程设计循环彩灯

课程设计说明书 课程名称:数字电子技术 设计题目:循环彩灯 院系:电子信息与电气工程学院 学生姓名:听风 学号:2010020200XX 专业班级:10级电子信息工程(1)班 指导教师: 2012 年5 月25日

课程设计任务书设计题目循环彩灯 学生姓名XX 所在院系电子信 息与电 气工程 学院 专业、年级、班 10级电子信息 工程(1)班 设计要求: 1、设计制作一个循环彩灯电路。 2、彩灯数量为8个,8个彩灯依次闪烁,彩灯亮灯时间为1秒。 3、器件:74LS192、74LS138、74LS00、555定时器各一片,48kΩ ,300 Ω,51kΩ,10uf,0.01uf各一个。 学生应完成的工作: 根据原理进行设计,方案论证,完成循环彩灯的原理图设计及PCB板的制作,手动布线完成PCB板图。然后将修改无误的PCB板图复制,转印,做板。再按照自己设计的电路原理图把电子元器件焊接到电路板上。经调试能正常工作,老师考查合格后,写好设计报告。 参考文献阅读: [1]童诗白.模拟电子技术基础[M].北京:高等教育出版社,2005. [2] 郑君里、杨为理信号与系统[M]北京:高等教育出版社,2011 [3] 谷树忠、刘文洲、姜航Altium Designer 教程北京:电子工业出版社,2006 [4]邱关源,罗先觉.电路(第五版)[M].北京:高等教育出版社,2006. [5]阎石.数字电子技术(第五版)[M].北京:高等教育出版社,2005. 工作计划: 2012年5月14日上午:课程设计说明、软件培训。下午:Multism电路仿真。 2012年5月15日:电路设计与仿真。 2012年5月16日上午:电路设计与仿真。下午:指导教师单独指导。 2012年5月17日:用Altium Designer 10设计原理图。 2012年5月18日:用Altium Designer 10设计PCB。 2012年5月21日上午:循环彩灯制版。下午:循环彩灯安装。 2012年5月22日:指导课程设计报告书写。 2012年5月23日:指导课程设计报告书写。 2012年5月24日:电路调试。 2012年5月25日:设计验收设计报告收交。 任务下达日期:2012 年 5 月14 日 任务完成日期:2012 年 5 月25 日 指导教师(签名):学生(签名):

多彩循环彩灯控制器设计.

1 设计意义及要求 1.1 设计意义 (1)通过此次课程设计,加深同学们对理论知识的理解,培养同学们的动手动脑能力以及解决实际问题的能力。 (2)培养同学们之间相互学习、相互交流合作共同解决问题的能力。 (3)培养同学们对电子设计的兴趣,查阅相关资料解决疑难的能力。 (4)同学们自学protues仿真软件画电路图并进行仿真操作,培养学生的自学能力。(5)增强同学们的创新能力,鼓励同学们设计出属于自己的方案。 1.2 设计要求 现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案: (1)使8只彩灯从右到左逐一循环点亮。 (2)使8只彩灯按照 1110 1110左移循环点亮。 (3)使8只彩灯交替闪烁。 (4)接着重复以上的动作,这样一直循环下去。时间间隔为0.5秒。 (5)严格按照课程设计说明书要求撰写课程设计说明书。 2 方案设计 2.1 设计思路 2.1.1 设计方案一 设计要求彩灯完成三个可以循环的功能,于是就把设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。由555多谐振荡器产生脉冲,再用74LS161进行分频,为电路提供脉冲信号。彩灯花样控制电路由74LS198和门电路构成。循环选择控制电路由74LS161和门电路组成。 图2.1 多彩循环彩灯控制器设计方案一系统框图

具体设计步骤:先将每个设计的功能要求的单独电路画出来,通过74LS198双向移位寄存器来实现对彩灯的直接控制。在完成单个功能要求之后,通过计数器74LS161配合相应的门从而选择哪一个门电路结构工作,把预先存在相应门电路结构输入端的数据送到移位寄存器74LS198的数据输入端,并对其进行置数。给移位寄存器加上相应的反馈,配合脉冲工作,当移位寄存器输出一种彩灯花样结束后,就重新置一次数,切换一种工作状态,从而实现在三种彩灯花样之间的循环。 2.1.2 设计方案二(小组方案) 此方案运用AT89S52单片机,通过IO口直接驱动LED灯。通过编写相应的程序从而实现在三种工作状态之间的切换。 设计框图如图2.2所示: 图2.2 多彩循环彩灯控制器设计方案二系统框图 2.2 方案设计 2.2.1 设计方案一电路图 多彩循环彩灯控制器设计方案一电路原理图如图2.3所示: 工作原理: 一、接通电源,555多谐振荡器产生周期为0.5秒的脉冲信号,将脉冲信号分别送 给计数器74LS161(1)和移位寄存器74LS198。计数器74LS161(1)按照反馈 置数法连接成8进制计数器从而实现对脉冲信号周期的扩展,即将原脉冲进行8 分频,得到周期为4秒的脉冲信号。用周期为4秒的脉冲信号触发下一个计数器 74LS161(2),把74LS161(2)连接成3进制计数器,Q1Q0就有三种循环状态00,01,10,00…… 二、将8个二输入与门7408作为一组,每个与门的选出一个输入端口连接在一起, 接控制信号,一共放置3组,组成3个与门结构。每组的二输入与门的另一个输 入端分别接上移位寄存器74LS198所需要的预置数,3组分别为00000001,111011

16路循环彩灯控制器

南华大学电气工程学院 《电子技术课程设计》任务书 设计题目:16路循环彩灯控制器 专业:本11通信01班 学生姓名: 周世闻学号:20114400123 起迄日期: 2013年12月9日~2013年12月20日指导教师:黄智伟 教研室主任:王彦

课程设计目录 一、内容摘要及关键词 (3) 二、课程设计任务书 (5) 三、课程设计方案及结构框图 (7) 四、课程设计原理 (8) 五、各单元电路的设计、计算及说明 (8) 六、课题整体电路图 (13) 七、芯片功能及其原理介绍 (14) 八、所用元器件清单 (24) 九、课程设计的心得体会 (25) 十、参考文献 (26)

摘要 数字电路是一门专业的基础课程,它具有很强的理论性与十分广泛的工程应用,本次课程设计的主要目的是掌握数字电子技术的一些基础知识,能够在一定程度上应用数电子技术的相关知识解决实际问题。 此次的课程设计是十六路循环彩灯控制器,此电路由四部分组成。如下:第一部分是由555计时器组成的信号发生器,控制频率的变化; 第二部分是由74HC163计数器组成的计数部分,其时钟信号由555计时器提供; 第三部分是由74HC154译码器组成的,计数器输出不同的计数结果,即可控制译码器译码得到不同的输出信号,决定彩灯的循环变化。如果使得计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。 而最后一部分则主要是由十六个彩灯构成的输出显示部分,显示在输入的信号不同时的输出结果,方便得出实验结果,进行此次实验设计的总结。 关键词:555计时器、74HC163计数器、74HC154译码器、LED彩灯、控制器、原理。

彩灯课程设计报告材料

《8路彩灯控制电路》 课程设计报告 专业:计算机科学与技术 班级:一班 姓名:XX 学号: 6 同组成员: 指导教师:伟 2015年 6 月23 日

目录 一、课程设计目的 (2) 二、课程设计题目描述和要求 (2) 三、课程设计报告容 (2) 四、总结,设计体会 (9)

一、课程设计目的 1.了解数字电路设计的基本思想和方法 2.进一步掌握数字电路课程所学的理论知识 3.熟悉集中常用集成数字芯片,掌握其工作原理 二、课程设计题目描述和要求 实现彩灯控制要求设计的彩灯路数较少,且花型比较简单,因此采用74LS194移位寄存器和74LS161四进制同步加法计数器以及简单的逻辑器件来控制彩灯电路。 (一)彩灯控制器设计要求 设计制作八路彩灯控制电路,用以控制八个LED彩灯按照不同的花色闪烁,要求如下: 1.接通电源,电路开始工作,LED灯按预设花型闪烁 2.LED灯按照事先设计的方式工作 (二)课程设计总体要求 1.根据设计任务,每组成员共同完成一份设计电路图 2.根据设计的电路图,两人一组利用万能板完成电路焊接,并调试成功 三、课程设计报告容 (一)原理分析 1.电路主要分为三个部分: 第一板块:实现脉冲时钟信号的产生; 第二板块实现花型的控制及节拍控制; 第三板块实现花型的演示。 2.根据实验所提供的器材,我的设计思路如下: ○!时钟信号由一个单脉冲提供; ○2花型控制电路由两片74LS161 四位二进制同步加法计数器和两个非门共同完成; ○3花型演示电路由两片74LS194移位寄存器完成,可以左移右移完成花型变

化。 3.花型控制电路设计: 花型1:8路彩灯分为上下两部分,每部分从下向上依次亮,然后从下向上依次灭,循环两次。 花型2:从两边到中间依次对称地渐亮,全亮后仍由两边到中间逐次灭,循环两次。 花型3:从中间到两边依次对称地渐亮,全亮后仍由中间到两边逐次灭,循环两次。 花型4:8路点灯分为上下两部分,每部分从上向下依次亮,然后从上向下依次灭,循环两次。 4.将两片74LS194分为低位片和高位片,再将其输出端从低位到高位记为L1~L8,所得四种花型的L1~L8状态值变化情况如下表: 四种花型每种显示两边,1~16显示第一个花型,17~32显示第二个花型,33~48显示第三个花型。 5.要用194芯片实现四个花型的连续显示必须对两片194的S1和S0,SL和SR 的每一节拍变化进行相应的改变。两片161的输出端从Q0~Q7根据变化的花型的频率选用高位片的Q4和Q5分别去控制194的S1和S0。它们的SR和SL则有低位161片的Q2经过非门来控制,这样就可以实现对花型变化周期的控制。各花型和其对应的194的S1、S0、SR、SL的输出信号及节拍控制信号列表如下:

LED循环彩灯课程设计

××学院 《模拟电子技术》课程设计 题目 LED循环彩灯 学生姓名××× 专业班级电科(3)班 学号 201231000 院(系)电气工程学院 指导教师××

完成时间 2013年12月17日

目录 1、课程设计的目的 (1) 2、课程设计的任务与要求 (2) 2.1实验器材 (2) 2.2电子器件的识别 (2) 2.3焊接技术 (2) 2.4元器件安装及要求: (3) 3、设计方案与论证 (3) 3.1功能分析与具体要求 (3) 3.2设计思路 (4) 4、设计原理及功能说明 (4) 5、单元电路的设计(计算与说明) (6) 6、硬件的制作与调试 (7) 7、总结 (10) 参考文献: (12)

附录1:总体电路原理图.......................错误!未定义书签。附录2:元器件清单.. (14)

1、课程设计的目的 当今世界,彩灯已经成为我们生活的一部分,能给我们带来视觉上的享受还能美化我们的环境。街角巷里,高楼大厦无处不是因它炫彩夺目以及控制简单等特点而得到了广泛的应用,用LED彩灯来装饰街道和城市建筑已经成为一种潮流。发光二极管简称为LED。它是一种能发光的半导体电子元件。这种电子元件早在1962年出现,早期只能发出低光度的红光,之后发展出其他单色光的版本,时至今日能发出的光已遍及可见光、红外线及紫外线,光度也提高到相当的光度。具有效率高、寿命长、不易破损、开关速度高、高可靠性等传统光源不及的优点。白光LED的发光效率,在近几年来已经有明显的提升。因此人们现在更侧重于用LED来照明以及装饰屋内及屋外环境,LED广泛应用与生活的各个方面,不断走进人们的生活也不断地改变着人们的生活。 本实验主要是结合我们以往所学课程的基础理论、基本技能和专业知识的能力,不仅要考虑总体电路的设计还要考虑系统各部分电路的选择、设计及它们之间的相互连接。主要目的是在实践中逐步培养我们建立正确的设计思想,掌握工程设计的一般程序、规范和方法。 (1)了解各种元器件的性能、作用和工作原理; (2)掌握一定的焊接技术以及简单元器件装配; (3)学习印刷电路板;

彩灯循环控制器设计

课程设计(论文) 题目名称循环彩灯控制器设计 课程名称电子技术课程设计 学生姓名 学号 系、专业电气工程系、电气工程及其自动化指导教师 2011年12月16 日 I

邵阳学院课程设计(论文)任务书 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效;2.此表1式3份,学生、指导教师、教研室各1份。 II

指导教师(签字):学生(签字): III

邵阳学院课程设计(论文)评阅表 学生姓名学号 系电气工程系专业班级09电气工程及其自动化专业题目名称循环彩灯控制器的设计课程名称电子技术课程设计 一、学生自我总结 二、指导教师评定 注:1、本表是学生课程设计(论文)成绩评定的依据,装订在设计说明书(或论文)的“任务书”页后面; 2、表中的“评分项目”及“权重”根据各系的考核细则和评分标准确定。 IV

摘要 当今社会,经济发展迅速,人们对周围环境的要求也越来越高。人们渴望在一个多姿的环境中生活,那样也不会太单调寂寞。霓虹灯以它炫彩的英姿征服了人们的眼球,为人们的生活添姿加彩。随着人们生活环境的不断改善和美化,在许多场合可以看到彩色流水灯,以往死寂般的夜空也开始变得鲜活。 霓虹灯发展到现在已经慢慢的融入到了我们生活的点点滴滴,在我们习以为常的环境中,变换着它们的色彩,绚烂着人们的生活。本次实验设计中我们在原有的基础上改进,设计这款彩灯循环发光控制器,通过对NE555集成时基电路和计数器/译码分配器CD4017等集成块的合理组建,实现了对彩灯的循环发光控制功能。 关键词:计数器;数据选择器;移位寄存器;彩灯显示电路 V

六路彩灯循环控制器数电课程设计

一.设计目的及要求 1.1 课程设计的目的 1 、巩固和加强《数字电子技术》课程的理论知识。 2 、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3 、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim 软件对电路仿真。 4 、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6 路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5 种演示花型: 花型1:6 路彩灯同时亮; 花型2:6 路彩灯同时灭; 花型3:6 路彩灯从左至右逐路点亮; 花型4:6 路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6 路彩灯右侧三个全亮,同时左侧三个全灭; 要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3 、花型4,花型5 、花型1 电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图

2.1题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个 74LS160,—个74LS42和两个74HC194这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2 题目设计 花型1,111111 ;花型2,000000 ;花型3,100000 ——010000 ——001000——000100——000010——000001;花型4,111000 ;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用 74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160 计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3结构框图

彩灯双向循环电子技术课程设计实验报告

安徽农业大学经济技术学院 电子技术课程设计报告书 课题名称一种多种波形发生器设计 姓名汪亦嘉 学号150103233 院、系、部机械工程系 专业机械设计制造及其自动化 指导教师李琰 2017年 6月 13 日

一、设计任务及要求: 1、用中规模计数器设计双向流动彩灯控制器。 2、要求彩灯双向流动点亮,其闪烁频率在1~10Hz内可调。 3、要求用555定时器设计时钟脉冲,五路彩灯采用五个发光二极管代替。设计要求 4、双向流动彩灯控制器的直流稳压电源要求自行设计。 5、在选择器件时,应考虑成本。 6、根据技术指标,通过分析计算确定电路和元器件参数。 7、画出电路原理图(元器件标准化,电路图规范化)。 指导教师签名: 2017年6月日二、指导教师评语: 指导教师签名: 2017年6月日

三、成绩 指导教师签名: 2017年6月日

一、设计目的 1、对模拟电子技术的的直流电源中的整流、滤波、稳压等环节加深印象。 2、了解直流电源各部分的结构。 3、加深对于555定时器的内部结构的理解。 4、将74LS161改为十六进制以内的任一进制计数器。 5、Mulsitim 电路仿真软件应用灵活。 二、方案论证 设计一个双向彩灯控制器,控制五路彩灯。 方案一:以555定时器为基础连接成多谐振荡器产生周期在1~10Hz 矩形时钟脉冲,用以启动74LS161计数器。并用74LS161构成八进制加法计数器通过74LS138译码器输出给五个彩灯,实现双向循环。方案一原理框图如图1所示。 图1 双向彩灯控制器方案一的原理框图 方案二:与方案一的第一部分原理相同同样采用555定时器构成多谐振荡器,用来产生1~10Hz 的周期矩形时钟脉冲,启动计数器。第二部分采用四进制加法计数器和四进制减法计数器结合实现循环,第三部分同样采用74LS138译码器输出给五路彩灯,实现循环。方案二原理框图如图2所示。 图2 双向彩灯控制器方案二的原理框图 最终本设计采用的是方案一,只采用一个八进制加法计数器,循环简单方便,仅需一次循环就可实现五路彩灯双向流动。在考虑成本的条件下,节省器件。所以方案一更加合适。 多谐振荡器 八进制加法计数器 译码器 彩灯 多谐振荡器 四进制加法计数器 四进制减法计数器 译码器 彩灯

基于plc设计的彩灯循环课程设计论文

专科课程设计(论文)设计题目:基于PLC设计的彩灯循环 系部:电气工程系 专业:电气工程及其自动化 班级:电气自动化111302

摘要 可编程控制器是60年代末在美国首先出现的,当时叫可编程逻辑控制器PLC(ProgrammableLogicController),目的是用来取代继电器。以执行逻辑判断、计时、计数等顺序控制功能。提出PLC概念的是美国通用汽车公司。PLC的基本设计思想是把计算机功能完善、灵活、通用等优点和继电器控制系统的简单易懂、操作方便、价格便宜等优点结合起来,控制器的硬件是标准的、通用的。根据实际应用对象,将控制内容编成软件写入控制器的用户程序存储器内,使控制器和被控对象连接方便。 70年代中期以后,PLC已广泛地使用微处理器作为中央处理器,输入输出模块和外围电路也都采用了中、大规模甚至超大规模的集成电路,这时的PLC 已不再是仅有逻辑(Logic)判断功能,还同时具有数据处理、PID调节和数据通信功能。国际电工委员会(IEC)颁布的可编程控制器标准草案中对可编程控制器作了如下的定义:可编程控制器是一种数字运算操作的电子系统,专为在工业环境下应用而设计。它采用了可编程序的存储器,用来在其内部存储执行逻辑运算,顺序控制、定时、计数和算术运算等操作的指令,并通过数字式和模拟式的输入和输出,控制各种类型的机械或生产过程。可编程控制器及其有关外围设备,易于与工业控制系统联成一个整体,易于扩充其功能的设计。 可编程控制器对用户来说,是一种无触点设备,改变程序即可改变生产工艺。目前,可编程控制器已成为工厂自动化的强有力工具,得到了广泛的普及推广应用。 可编程控制器是面向用户的专用工业控制计算机,具有许多明显的特点。 ①可靠性高,抗干扰能力强; ②编程直观、简单; ③适应性好; ④功能完善,接口功能强。 \

多彩循环彩灯控制器设计

多彩循环彩灯控制器设 计 Document number:WTWYT-WYWY-BTGTT-YTTYU-2018GT

1设计意义及要求设计意义 (1)通过此次课程设计,加深同学们对理论知识的理解,培养同学们的动手动脑能力以及解决实际问题的能力。 (2)培养同学们之间相互学习、相互交流合作共同解决问题的能力。 (3)培养同学们对电子设计的兴趣,查阅相关资料解决疑难的能力。 (4)同学们自学protues仿真软件画电路图并进行仿真操作,培养学生的自学能力。(5)增强同学们的创新能力,鼓励同学们设计出属于自己的方案。 设计要求 现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案: (1)使8只彩灯从右到左逐一循环点亮。 (2)使8只彩灯按照 1110 1110左移循环点亮。 (3)使8只彩灯交替闪烁。 (4)接着重复以上的动作,这样一直循环下去。时间间隔为秒。 (5)严格按照课程设计说明书要求撰写课程设计说明书。 2 方案设计 设计思路 设计方案一 设计要求彩灯完成三个可以循环的功能,于是就把设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。由555多谐振荡器产生脉冲,再用74LS161进行分频,为电路提供脉冲信号。彩灯花样控制电路由74LS198和门电路构成。循环选择控制电路由 74LS161和门电路组成。

图多彩循环彩灯控制器设计方案一系统框图 具体设计步骤:先将每个设计的功能要求的单独电路画出来,通过74LS198双向移位寄存器来实现对彩灯的直接控制。在完成单个功能要求之后,通过计数器74LS161配合相应的门从而选择哪一个门电路结构工作,把预先存在相应门电路结构输入端的数据送到移位寄存器74LS198的数据输入端,并对其进行置数。给移位寄存器加上相应的反馈,配合脉冲工作,当移位寄存器输出一种彩灯花样结束后,就重新置一次数,切换一种工作状态,从而实现在三种彩灯花样之间的循环。 设计方案二(小组方案) 此方案运用AT89S52单片机,通过IO口直接驱动LED灯。通过编写相应的程序从而实现在三种工作状态之间的切换。 设计框图如图所示: 图多彩循环彩灯控制器设计方案二系统框图 方案设计 设计方案一电路图 多彩循环彩灯控制器设计方案一电路原理图如图所示:

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

循环彩灯课程设计知识讲解

循环彩灯课程设计

精品资料 成绩 课程设计说明书 题目:循环彩灯电路设计 课程名称:数字电子技术学 院:电子信息与电气工程学院 学生姓名:李倩 学号: 201102020025 专业班级:电子信息工程2011级1班 指导教师:翟亚芳 2013 年6 月7 日

课程设计任务书

循环彩灯 摘要:设计制作了一个循环彩灯控制电路,该电路可以控制8个彩灯从左到右依次点亮,然后依次熄灭,点亮和熄灭的时间间隔为1秒。电路主要由555定时器、同步十六进制加法计数器74LS161和8位串行输入、并行输出移位寄存器74LS164以及2输入端4与非门74LS00组成。555定时器可以产生振荡周期为1秒的时钟信号;74LS161对时钟信号进行加法计数;74LS00对74LS161产生的信号进行取反,74LS164对 74LS00输出的信号移位寄存输出并驱动对应的发光二极管工作,使其可以达到可以依次点亮依次熄灭的目的。 关键词:555定时器;加法计数器;反相器;移位寄存器;

目录 1.设计背景 (1) 1.1 了解数字电路系统和数字电路的定义和组成 (1) 1.2掌握时钟电路的作用及基本构成 (1) 2.设计方案 (1) 2.1 任务分析…………………………………………………………………… 1 2.2方案论证 (2) 3.方案实施 (2) 3.1 原理图设计………………………………………………………………… 2 3.2电路仿真 (4) 3.3PCB制作 (5) 3.4安装与调试 (6) 4.结果与结论 (6) 5.收获与致谢 (6) 6.参考文献 (7) 7.附件 (7) 7.1电路原理图 (8) 7.2仿真图 (8) 7.3P C B布线图 (9)

循环彩灯控制器的电路设计

数字电子技术课程设计题目循环彩灯控制器的电路设计 院系 专业 学生姓名 学号 指导教师 二O一O年十二月二十一日

循环彩灯控制器的电路设计 摘要:本课题主要研究循环彩灯控制器的电路设计,它由直流电源、555振荡器、4510计数器、4028译码器、双D触发器及彩灯等几大部分组成,其中直流电源共有5V和7V两种,均由桥式整流滤波电路产生,其中5V电源主要供给循环彩灯控制电路的主电路(彩灯部分)而7V电源主要共给其控制电路;555振荡器主要为电路产生时钟脉冲提供给计数器;而4510计数器在双D触发器的控制下实现加减计数的功能;4028译码器在4510计数器的基础上产生顺序脉冲信号提供给彩灯,3路彩灯在顺序脉冲的作用下依次正循环和反循环的闪亮。 关键字:循环;计数器;译码器;振荡器 Circulation lights controller circuit design Abstract: the article mainly studied circulation lights controller circuit design, it consists of dc power supply, 555 oscillator, 4510 counters, 4028 decoder, double D flip-flop and lights and several other major components, including dc power were 5V and 7V two kinds, all by bridge rectifier filter circuits produce, including 5V power supply main supply circulation lights control circuit of main circuit (colored lantern part of 7V power mainly to the control circuit, 555 oscillator mainly for circuit produce clock pulse provide counter, And 4510 counter on double D flip-flop is under the control of the realization of the function; add and subtract counting 4028 decoder in 4510 counter on the basis of sequence pulse signal generated provide lights, 3 road lights in order pulse in turn is under the action of circulation and reverse circulation ablaze. Key word: cycle, Counter, Decoder, oscillator 一、概述 彩灯控制电路在人们的日常生活中随处可见,无论是在繁华的闹市区或是在大中型游乐场、圣诞树等等都有它们的身影,循环彩灯控制电路是今年来渐渐兴起的一种较为简单的电子设备装置,它可以按照人们的要求控制彩灯以不同的方式被点亮,还可以伴随音乐、各种奇奇怪怪的声音,色彩,变化无穷,为人们的生活增光添彩。 1、彩灯的控制方法和类型 彩灯一般可以用白炽灯、发光二极管以及拥有不同彩色的灯泡等。常见的彩灯控制方法有两种,一是通过微机编程实现,这种方法的优点是编程简单,变换的种类多,需要的外接电路也有限,它还方便因场地的转移或天气的变化而改变,但它适合于需要控制的彩灯数目较多且经常变换的场合。另一种是通过电子设备来实现,这种的方法的优点是制作和调试比较容易,成本相对而言也较低,电路的结构不是很复杂,

声控音乐彩灯课程设计报告

设计题目:声控音乐彩灯 专业电气工程学院 班级 1008班 学号 10291246 学生姓名乔茜 指导教师叶晶晶 提交时间2012年6月29日

目录 一、概述 (2) 1.1目的 (2) 1.2课程设计的组成部分 (2) 二、总结 (5) 3.1课程设计进行过程及步骤 (5) 3.2所遇到的问题,你是怎样解决这些问题的........ 错误!未定义书签。 3.3体会收获及建议 (7)

一、概述 1.1目的 我们都知道,人发出的声音信号中的电压信号是及其微弱的,只是在毫伏级别,而其频率信号一般在几十到几百赫兹,我们希望用声音信号来控制信号灯闪烁的频率,从而可以让大家直观得看到自己说话声音“音符”,如果加上音乐,那么彩灯便会随着音乐的跳动而闪烁出不同的频率。为了实现上述功能,我们首先要将微弱的声音信号放大,然后将声音信号进行降频,降到可以被肉眼分辨出的频率。因此,此电路可以分为三部分:一、电压信号两级放大部分二、频率信号降频部分三、彩灯显示部分 1.2课程设计的组成部分 一:电压信号两级放大部分 这部分我们先用一个电容滤去直流部分,然后通过一个三极管将电压进行第一级放大,再次电容滤去直波,再通过一个三极管放大。经过两次放大后,电压被放大了100倍,这样就将毫伏级别的电压放大到了级别为伏的电压信号,为下一步降频做准备。 以下是第一部分的仿真:

二:频率信号降频部分 电路主要是四个集成芯片CD4017构成。CD4017是十进制计数器/分频器,其内部由计数器及分频器两部分组成,由译码输出现实对脉冲的分配,整个输出时序就是Q0、Q1、Q2、Q3···、Q9依次出现与时钟同步的高电平,宽电平等于时钟周期。 CD4017有3个输入端(MR、CP0和CP1),MR为清零端,当在MR端上加高电平或正脉冲时,其输出Q0为高电平,其余输出端(Q1~Q9)均为地电平.CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号有CP0端输入,若要下降沿来计数,则信号由CP1端输入。 CD4017有10个输出端(Q0~Q9)和1个进位输出端CO。每输入10个计数脉冲,C0就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号,输出端依此变为高电平。 在C1中将11与15相接,即输入端14每输入9个信号时发生一次清零,达到降频的目的。C2中将C1送来的信号分配开,并分在10个端子上得到顺序正脉冲,以达到降频、分频的目的。 第一个芯片进行的是第一次分频,接下来的三个芯片是并联接入状态,其14与第一个的3相连,并利用3,4,10,5,9五个端口进行输出。 以下是第二部分的仿真部分:

循环彩灯课程设计

成绩 课程设计说明书 题目:循环彩灯电路设计 课程名称:数字电子技术 学院:电子信息与电气工程学院 学生姓名:李倩 学号:201102020025 专业班级:电子信息工程2011级1班 指导教师:翟亚芳 2013 年6 月7 日

课程设计任务书设计题目循环彩灯 学生姓名李倩所在学院电子信息与电气工 程学院 专业、年级、班 电子信息工程 2011级1班 设计要求: 1、设计制作一个循环彩灯电路; 2、设置彩灯数量为8个,8个彩灯从左到右依次点亮,然后依次熄灭,点亮和熄灭的时间间隔为1秒。 学生应完成的工作: 设计循环彩灯的工作原理,并利用Multisim软件进行电路仿真。利用DXP软件绘制电路原理图,并设计制作电路的PCB板。根据设计原理对电路进行安装调试,完成课程设计工作,并提交课程设计报告。 参考文献: [1]童诗白.模拟电子技术基础[M].北京:高等教育出版社,2005. [2]臧春华.电子线路设计与应用[M].北京:高等教育出版社,2005. [3]邱关源,罗先觉.电路(第五版)[M].北京:高等教育出版社,2006. [4]阎石.数字电子技术(第五版)[M].北京:高等教育出版社,2005. [5]张阳天,韩异凡.Protel DXP电路设计[M].北京:高等教育出版社,2005. 工作计划: 5月27号—30完成原理图设计;5月31号进行PCB设计;6月3号到4号制作PCB板;6月5到7号电路板安装与调试,提交课程设计报告。 任务下达时期:2013 年5月27日 任务完成时期:2013年6月7 日 指导教师(签名):学生(签名):

循环彩灯 摘要:设计制作了一个循环彩灯控制电路,该电路可以控制8个彩灯从左到右依次点亮,然后依次熄灭,点亮和熄灭的时间间隔为1秒。电路主要由555定时器、同步十六进制加法计数器74LS161和8位串行输入、并行输出移位寄存器74LS164以及2输入端4与非门74LS00组成。555定时器可以产生振荡周期为1秒的时钟信号;74LS161对时钟信号进行加法计数;74LS00对74LS161产生的信号进行取反,74LS164对74LS00输出的信号移位寄存输出并驱动对应的发光二极管工作,使其可以达到可以依次点亮依次熄灭的目的。 关键词:555定时器;加法计数器;反相器;移位寄存器;

EDA课程设计 彩灯控制器.

电子设计自动化大作业 题目彩灯控制器的设计 学院**学院 班级电气** 学号********** 姓名******** 二O一二年十月三十一日

彩灯控制器的设计 一、彩灯控制器的设计要求 设计能让一排彩灯(8只)自动改变显示花样的控制系统,发光二极管可作为彩灯用。控制器应有两种控制方式: (1)规则变化。变化节拍有0.5秒和0.x秒两种,交替出现,每种节拍可有4种花样,各执行一或二个周期后轮换。 (2)随机变化。无规律任意变化。 二、彩灯控制器的设计原理 本次彩灯控制器的设计包含几个主要模块,一是彩灯显示和扬声器的时序控制部分,二是发光二极管的动态显示和数码管的动态显示,本次设计中,二者的显示同步变化;三是扬声器的控制部分。流程图如下所示: 图 1 彩灯控制器的设计流程图 彩灯控制器的设计核心主要是分频器的使用,显示部分的设计较

简易。分频的方法有很多种,本次设计之采用了其中较简易的一种,通过计数器的分频,将控制器外接的频率分为几个我们预先设定的值。当计数器达到预先设定的值,即产生一个上升沿,从而实现分频。扬声器通过不同的频率控制发出不同的声音。同样发光二极管和数码管的显示速度也由其中分出来的一种频率控制(控制显示频率在1~4 之间为宜)。通过使能端的控制可以控制不同的数码管显示预先设定的图案,数码管依次显示的图案为 AA、BB、CC,并随着发光二极管同步动态显示。AA 为自左向右显示,BB 为自右向左显示,CC 从二边向中间再由中间向二边发散显示。与此同时,显示不同的花型时扬声器发出不同的声音,代表不同的花型。本次设计还带有复位功能,通过复位可以使彩灯控制器恢复到最初的状态。 三、程序设计和分析 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; 建立设计库和标准程序包 实体部分: entity pan is port(clk:in std_logic; clr:in std_logic; speak:out std_logic; led7s1:out std_logic_vector(6 downto 0); led7s2:out std_logic_vector(7 downto 0);

单片机的彩灯课程设计报告

一、系统设计 本设计以STC89C52单片机为核心,用独立键盘控制模式的选择,STC89C52单片机接收到键盘信号后控制发光二极管以不同的模式闪烁的实验装置,用STC89C52单片机控制8个发光二极管发光,实现亮点的循环移动。通过Protel软件设计,布线排版,手工制版,并能掌握通过软件控制发光二极管的思路和技巧。这次设计重点就在于利用单片机的知识去控制系统的运行,图1-1为整体方案设计框图。 图1-1 总体方框图 1.设计要求: a.上电复位。 b.上电后数码管消隐,LED灯全灭。 c.当按下第一个按键后数码管显示1第二个按键显示2以此类推。 d.每个按键控制不同的闪烁模式。 e.数码管显示有保持功能。

二、方案设计 本设计总体设计思路为:以STC89C52单片机为核心,用独立键盘作STC89C52单片机的信号输入,STC89C52单片机接收到键盘信号后经单片机内部软件处理后将数据输出至P1口和P0口,使8发光二极管以不同的模式闪烁,并由七段数码管显示其闪烁模式编号。在课程设计中通过Protel软件设计原理图,布线排版,用万能版(单孔)手工焊接制作出实物。 1.方案论证 方案一:采用汇编语言编程的软件的STC89C52单片机系统。 本方案设计的核心为STC89C52单片机系统,包括硬件和软件,硬件采用四位独立键盘做信号输入,STC89C52单片机进行信号处理并输出,显示部分为八个发光二级管和七段数码管,八个发光二极管采用共阴极接法,七段数码管采用共阳极的。软件采用Kell编辑的汇编语言程序,并烧写至STC89C52单片机。图2-1为方案一方框图 图2-1 方案一方框图

相关主题
文本预览
相关文档 最新文档