当前位置:文档之家› 组成原理实验报告-基于硬布线控制器设计并实现

组成原理实验报告-基于硬布线控制器设计并实现

组成原理实验报告-基于硬布线控制器设计并实现
组成原理实验报告-基于硬布线控制器设计并实现

评语: 课中检查完成的题号及题数:

课后完成的题号与题数:

成绩: 指导教师:

实验报告

日期:2011-1-12

实验名称:基于硬布线控制器设计并实现

带中断功能的复杂模型机

班级:学号:姓名:

一、实验目的:

1. 掌握硬布线控制器的组成原理、设计方法;

2. 了解硬布线控制器和微程序控制器的各自优缺点;

3. 掌握并会设计带中断功能的复杂模型机的硬布线控制器。

二、实验内容:

1. 根据带中断功能的复杂模型机的微程序流图,画出状态机描述图;

2. 分析每个状态所需的控制信号,产生控制信号表,并用VHDL语言来设计程序,实现状

态机描述的功能;

3. 用Quartus软件进行编译链接,选择器件,定义管脚,编程下载,然后用CM3P联机测

试每一条机器指令的功能。

三、项目要求及分析:

实验要求设计带中断功能的复杂模型机的硬布线控制器,可先参照前面带中断处理能力的模型机设计实验画出微程序流程图,参照二进制微代码表设控制信号表。然后用VHDL语言编程实现,主要注意原P<1>—P<4>的修改,采用分支语句实现。然后就是连线装载带中断处理能力的模型机微程序检验。

四、具体实现:

应包括:状态图、控制信号表、控制引脚图、VHDL程序、机器码验证程序等。

S0S1S33

S34

S35

S36

S37

S38

S2S3

S54S55S56

S57

S58S59S53S52S51S50

S49S48S60

S61S47

S62

S4S5S6S7S8S9S10

S16

S17

S18S19S20S21S22S23S24S25S26S28S29S30S31S32S39S40S41S42S43

S44

S45

S46

S12S13S14S15S11S27

返回S1返回S1返回S1

返回S1返回S1

返回S1

返回S1返回S1

返回S1返回S1返回S1

返回S1返回S1返回S1

返回S1

返回S1

2、控制状态表:

INTA/WR/RD/IOM/S3/S2/S1/S0/LDA/LDB/LDR0/LDSP/L0AD/LDAR/LDIR/ALUB/RSB/RDB/RIB/SPB/PCB/LDPC/STI/CLI

S0 100000000000100111111010 S1 100000000000100111111011 S2 100000000000110111110111 S3 101000000000101111111011 S4 100000000100100101111011 S5 100010010010100011111011 S6 100000000100100101111011 S7 100000100010100011111011 S8 101000000000110111111011 S9 101100000010100111111011 S10 101000000000110111111011 S11 100000000000100111111011 S12 101000000010100111111011 S13 110000000000100110111011

S16 110100000000100101111011 S17 101000000010100111111011 S18 110000000000100101111011 S19 100000001000100111101011 S20 100011010001100011111011 S21 100011000001100011111011 S22 100000000000110111101011 S23 101000000010100111111011 S24 100011000001100011111011 S25 100000000000110111101011 S26 101000000000000111111111 S27 100000000000000011111111 S28 101000001000100111111011 S29 101000000000110111111011 S30 101000000000110111111011 S31 101000001000100111111011 S32 101000000000110111111011 S33 000000000000110111101011 S34 110000000000100111110011 S35 100000001000100111101011 S36 100011010001100011111011 S37 000000000000110111111011 S38 101000000000000111111111 S39 101000001000100111111011 S40 100000000100100111011011 S41 100010010000110011111011 S42 100010011000100011111011 S43 101000001000100111111011 S44 100000000100100111110011 S45 100010010000110011111011 S46 100010011000100011111011 S47 100000001000100110111011 S48 100000001000100110111011 S49 100000000000110111110111 S50 100000000000110111110111 S51 100000000010100101111011 S52 100000000000100111111011 S53 100000000000110111110111 S54 100000000000100111111001 S55 100000000000100111111010 S56 100000000000110111101011 S57 100000001000100111101011 S58 100000001000100111101011

S61 100000000000110111110111

S62 100000000000110111110111

3、控制引脚图:

A31A32A33A34 A30……A27 A01A02A23A24A03A04A21A22A20A19A18A17A25A26A13A14

C23 C22 C21 C20 C19---C16 C15 C14 C13 C12 C11 C10 C9 C8 C7 C6 C5 C4 C3 C2 C1 C0 INTA WR RD IOM S3---S0 LDA LDB LDRi LDSP L0AD LDAR LDIR ALUB RSB RDB RIB SPB PCB LDPC STI CLI

INTR RESET T1 INS7……INS0

五、调试运行结果:

D36D37D38 D17……D24

4、VHDL程序:

LIBRARY IEEE;

USE IEEE.std_logic_1164.ALL;

ENTITY CONTROLLER IS

PORT(

RESET : IN STD_LOGIC;

T1 : IN STD_LOGIC;

INTR : IN STD_LOGIC;

INS : IN STD_LOGIC_VECTOR(7 DOWNTO 0);

CTRL : OUT STD_LOGIC_VECTOR(23 DOWNTO 0)

);

END CONTROLLER;

ARCHITECTURE CONTROLLER_ARCH OF CONTROLLER IS

TYPE STA TE IS (S0,S1,S2,S3,S4,S5,S6,S7,S8,S9,S10,S11,S12,S13,S14,S15,S16,

S17,S18,S19,S20,S21,S22,S23,S24,S25,S26,S27,S28,S29,S30,S31,S32,S33,S34,S35,

S36,S37,S38,S39,S40,S41,S42,S43,S44,S45,S46,S47,S48,S49,S50,S51,S52,S53,S54,

S55,S56,S57,S58,S59,S60,S61,S62);

SIGNAL CUFSM: STATE;

--CTRL:INTA,WR,RD,IOM,S3,S2,S1,S0,LDA,LDB,LDRI,LDSP,LOAD,LDAR,LDIR,ALU_B,RS_B,RD_B,RI_B,SP_B,PC _B,LDPC,STI,CLI

BEGIN

PROCESS (T1,RESET,INTR,INS)

BEGIN

IF RESET = '0' THEN

CTRL <= "100000000000100111111010"; --CLI

CUFSM <= S0;

ELSIF T1'EVENT AND T1 = '1' THEN

CASE CUFSM IS

WHEN S0 =>

CTRL <= "100000000000100111111011"; --中断判断

CUFSM <= S1;

WHEN S1 =>

IF INTR='1' THEN

CTRL <= "000000000000110111101011"; --R0->BUS,BUS->A

CUFSM <= S33;

ELSE CTRL <= "100000000000110111110111";

CUFSM <= S2;

END IF;

WHEN S33=>

CTRL <= "110000000000100111110011";

CUFSM <= S34;

WHEN S34=>

CTRL <= "100000001000100111101011";

CUFSM <= S35;

WHEN S35=>

CTRL <= "100011010001100011111011";

CUFSM <= S36;

WHEN S36=>

CUFSM <= S37;

WHEN S37=>

CTRL <= "101000000000000111111111";

CUFSM <= S38;

WHEN S38=>

CTRL <= "100000000000110111110111";

CUFSM <= S2;

WHEN S2=>

CTRL <= "101000000000101111111011";

CUFSM <= S3;

WHEN S3 =>

IF INS(7 downto 4) = "0000" THEN --ADD INS

CTRL <= "100000001000100110111011";

CUFSM <= S47;

ELSIF INS(7 downto 4) = "0001" THEN --AND INS CTRL <= "100000001000100110111011";

CUFSM <= S48;

ELSIF INS(7 downto 4) = "0010" THEN -- IN INS CTRL <= "100000000000110111110111";

CUFSM <= S49;

ELSIF INS(7 downto 4) = "0011" THEN --OUT INS CTRL <= "100000000000110111110111";

CUFSM <= S50;

ELSIF INS(7 downto 4) = "0100" THEN -- MOV INS CTRL <= "100000000010100101111011";

CUFSM <= S51;

ELSIF INS(7 downto 4) = "0101" THEN -- HLT INS CTRL <= "100000000000100111111011";

CUFSM <= S52;

ELSIF INS(7 downto 4) = "0110" THEN -- LDI INS CTRL <= "100000000000110111110111";

CUFSM <= S53;

ELSIF INS(7 downto 4)= "0111" THEN -- STI INS

CUFSM <= S54;

ELSIF INS(7 downto 4) = "1000" THEN -- CLI INS

CTRL <= "100000000000100111111010";

CUFSM <= S55;

ELSIF INS(7 downto 4) = "1001" THEN -- PUSH INS

CTRL <= "100000000000110111101011";

CUFSM <= S56;

ELSIF INS(7 downto 4) = "1010" THEN -- POP INS

CTRL <= "100000001000100111101011";

CUFSM <= S57;

ELSIF INS(7 downto 4) = "1011" THEN -- INET INS

CTRL <= "100000001000100111101011";

CUFSM <= S58;

ELSIF INS(7 downto 6) = "11" AND INS(3 downto 2) = "00" THEN -- 直接INS CTRL <= "100000000000110111110111";

CUFSM <= S59;

ELSIF INS(7 downto 6) = "11" AND INS(3 downto 2) = "01" THEN -- 间接INS CTRL <= "100000000000110111110111";

CUFSM <= S60;

ELSIF INS(7 downto 6) = "11" AND INS(3 downto 2) = "10" THEN -- 变址INS CTRL <= "100000000000110111110111";

CUFSM <= S61;

ELSIF INS(7 downto 6) = "11" AND INS(3 downto 2) = "11" THEN -- 相对INS CTRL <= "100000000000110111110111";

CUFSM <= S62;

END IF;

WHEN S47=>

CTRL <= "100000000100100101111011";

CUFSM <= S4;

WHEN S4=>

CTRL <= "100010010010100011111011";

CUFSM <= S5;

WHEN S5=>

CTRL <= "100000000000100111111011";

WHEN S48=>

CTRL <= "100000000100100101111011";

CUFSM <= S6;

WHEN S6 =>

CTRL <= "100000100010100011111011";

CUFSM <= S7;

WHEN S7=>

CTRL <= "100000000000100111111011";

CUFSM <= S1;

WHEN S49=>

CTRL <= "101000000000110111111011";

CUFSM <= S8;

WHEN S8=>

CTRL <= "101100000010100111111011";

CUFSM <= S9;

WHEN S9=>

CTRL <= "100000000000100111111011";

CUFSM <= S1;

WHEN S50=>

CTRL <= "101000000000110111111011";

CUFSM <= S10;

WHEN S10=>

CTRL <= "110100000000100101111011";

CUFSM <= S16;

WHEN S16=>

CTRL <= "100000000000100111111011";

CUFSM <= S1;

WHEN S51=>

CTRL <= "100000000000100111111011";

CUFSM <= S1;

WHEN S52=>

CTRL <= "100000000000100111111011";

CUFSM <= S1;

WHEN S53=>

CUFSM <= S17;

WHEN S17=>

CTRL <= "100000000000100111111011";

CUFSM <= S1;

WHEN S54=>

CTRL <= "100000000000100111111011";

CUFSM <= S1;

WHEN S55=>

CTRL <= "100000000000100111111011";

CUFSM <= S1;

WHEN S56=>

CTRL <= "110000000000100101111011";

CUFSM <= S18;

WHEN S18=>

CTRL <= "100000001000100111101011";

CUFSM <= S19;

WHEN S19=>

CTRL <= "100011010001100011111011";

CUFSM <= S20;

WHEN S20=>

CTRL <= "100000000000100111111011";

CUFSM <= S1;

WHEN S57=>

CTRL <= "100011000001100011111011";

CUFSM <= S21;

WHEN S21=>

CTRL <= "100000000000110111101011";

CUFSM <= S22;

WHEN S22=>

CTRL <= "101000000010100111111011";

CUFSM <= S23;

WHEN S23=>

CTRL <= "100000000000100111111011";

CUFSM <= S1;

CTRL <= "100011000001100011111011";

CUFSM <= S24;

WHEN S24=>

CTRL <= "100000000000110111101011";

CUFSM <= S25;

WHEN S25=>

CTRL <= "101000000000000111111111";

CUFSM <= S26;

WHEN S26=>

CTRL <= "100000000000100111111011";

CUFSM <= S1;

WHEN S59=>

CTRL <= "101000001000100111111011";

CUFSM <= S28;

WHEN S28=>

CTRL <= "101000000000110111111011";

CUFSM <= S29;

WHEN S60=>

CTRL <= "101000000000110111111011";

CUFSM <= S30;

WHEN S30=>

CTRL <= "101000001000100111111011";

CUFSM <= S31;

WHEN S31=>

CTRL <= "101000000000110111111011";

CUFSM <= S32;

WHEN S61 =>

CTRL <= "101000001000100111111011";

CUFSM <= S39;

WHEN S39 =>

CTRL <= "100000000100100111011011";

CUFSM <= S40;

WHEN S40 =>

CTRL <= "100010010000110011111011";

WHEN S41 =>

CTRL <= "100010011000100011111011";

CUFSM <= S42;

WHEN S62 =>

CTRL <= "101000001000100111111011";

CUFSM <= S43;

WHEN S43 =>

CTRL <= "100000000100100111110011";

CUFSM <= S44;

WHEN S44 =>

CTRL <= "100010010000110011111011";

CUFSM <= S45;

WHEN S45 =>

CTRL <= "100010011000100011111011";

CUFSM <= S46;

WHEN S29=>

IF INS(7 downto 4) = "1100" THEN

CTRL <= "101000000010100111111011";

CUFSM <= S12;

ELSIF INS(7 downto 4) = "1101" THEN

CTRL <= "110000000000100110111011";

CUFSM <= S13;

ELSIF INS(7 downto 4) = "1110" THEN

CTRL <= "100000000000000011111111";

CUFSM <= S14;

ELSIF INS(7 downto 4) = "1111" THEN

CTRL <= "100000000000100111111011";

CUFSM <= S15;

END IF;

WHEN S32=>

IF INS(7 downto 4) = "1100" THEN

CTRL <= "101000000010100111111011";

CUFSM <= S12;

ELSIF INS(7 downto 4) = "1101" THEN

CUFSM <= S13;

ELSIF INS(7 downto 4) = "1110" THEN

CTRL <= "100000000000000011111111";

CUFSM <= S14;

ELSIF INS(7 downto 4) = "1111" THEN

CTRL <= "100000000000100111111011";

CUFSM <= S15;

END IF;

WHEN S42 =>

IF INS(7 downto 4) = "1100" THEN

CTRL <= "101000000010100111111011";

CUFSM <= S12;

ELSIF INS(7 downto 4) = "1101" THEN

CTRL <= "110000000000100110111011";

CUFSM <= S13;

ELSIF INS(7 downto 4) = "1110" THEN

CTRL <= "100000000000000011111111";

CUFSM <= S14;

ELSIF INS(7 downto 4) = "1111" THEN

CTRL <= "100000000000100111111011";

CUFSM <= S15;

END IF;

WHEN S46 =>

IF INS(7 downto 4) = "1100" THEN

CTRL <= "101000000010100111111011";

CUFSM <= S12;

ELSIF INS(7 downto 4) = "1101" THEN

CTRL <= "110000000000100110111011";

CUFSM <= S13;

ELSIF INS(7 downto 4) = "1110" THEN

CTRL <= "100000000000000011111111";

CUFSM <= S14;

ELSIF INS(7 downto 4) = "1111" THEN

CTRL <= "100000000000100111111011";

END IF;

WHEN S12=>

CTRL <= "100000000000100111111011"; --R0->BUS,BUS->B

CUFSM <= S1;

WHEN S13=>

CTRL <= "100000000000100111111011"; --R0->BUS,BUS->B

CUFSM <= S1;

WHEN S14=>

CTRL <= "100000000000100111111011"; --R0->BUS,BUS->B

CUFSM <= S1;

WHEN S15=>

IF INS = "00000000" THEN

CTRL <= "100000000000100111111011";

CUFSM <= S11;

ELSIF INS = "10000000" THEN

CTRL <= "100000000000000011111111";

CUFSM <= S27;

END IF;

WHEN S11=>

CTRL <= "100000000000100111111011"; --R0->BUS,BUS->B

CUFSM <= S1;

WHEN S27=>

CTRL <= "100000000000100111111011"; --R0->BUS,BUS->B

CUFSM <= S1;

END CASE;

END IF;

END PROCESS;

END CONTROLLER_ARCH ;

5、机器码验证程序:

$P 00 60 ; LDI R0,13H 将立即数13装入R0

$P 01 13

$P 03 C0 ; ICW1,边沿触发,单片模式,需要ICW4 $P 04 60 ; LDI R0,30H 将立即数30装入R0

$P 05 30

$P 06 30 ; OUT C1H,R0 将R0中的内容写入端口C1中,即写$P 07 C1 ; ICW2,中断向量为30-37

$P 08 60 ; LDI R0,03H 将立即数03装入R0

$P 09 03

$P 0A 30 ; OUT C1H,R0 将R0中的内容写入端口C1中,即写$P 0B C1 ; ICW4,非缓冲,86模式,自动EOI

$P 0C 60 ; LDI R0,FEH 将立即数FE装入R0

$P 0D FE

$P 0E 30 ; OUT C1H,R0 将R0中的内容写入端口C1中,即写$P 0F C1 ; OCW1,只允许IR0请求

$P 10 63 ; LDI SP,A0H 初始化堆栈指针为A0

$P 11 A0

$P 12 70 ; STI CPU开中断

$P 13 20 ; IN R0,00H 从端口00(IN单元)读入计数初值

$P 14 00

$P 15 41 ; LOOP:MOV R1,R0 移动数据,并等待中断

$P 16 E0 ; JMP LOOP 跳转,并等待中断

$P 17 15

; 以下为中断服务程序:

$P 20 80 ; CLI CPU关中断

$P 21 61 ; LDI R1,01H 将立即数01装入R1

$P 22 01

$P 23 04 ; ADD R0,R1 将R0和R1相加,即计数值加1

$P 24 30 ; OUT 40H,R0 将计数值输出到端口40(OUT单元)$P 25 40

$P 26 70 ; STI CPU开中断

$P 27 B0 ; IRET 中断返回

$P 30 20 ; IR0的中断入口地址20

初始化8259,然后原地踏步等待中断,每中断一次R0 +1,把R0输出到OUT单元

计算了14次,如out 单元:

六、所遇问题及解决方法:

VHDL语言编程主要实现各个分支,这里要参照流程图,细心不出错后面实现就比较简单了。由于刚接触这种语言,开始犯了很多语法错误,后来在老师的帮助下逐一修改了过来。后面遇到最多的问题还是实验的接线,由于没有标准的接线图,又没有自己画出模拟图,导致接线错误频出,后来也在老师的帮助下改正了过来。

七、实验总结:

1. 本次实验由于内容较多,编程、设计也十分繁琐,导致小错不断,以后再做

类似的工作时一定要画出流程图,一步一步细心完成;

2. 设计二进制代码时一定要注意0和1的位数,合理分配指令操作码;

3. 用visio绘制微程序流程图时要注意流程顺序。

八、建议:

1. 感觉实验时间很紧,觉得应适当延长课程设计时间,给同学们充分时间完成;

2. 可以考虑适当启用研究生当辅导老师,这样不仅可以减轻老师负担,还能便

于管理和同学们的答疑;

3. 建议多联系课堂上所学过的知识,拓展知识面。

计算机组成原理实验报告

福建农林大学计算机与信息学院信息工程类实验报告系:计算机科学与技术专业:计算机科学与技术年级: 09级 姓名:张文绮学号: 091150022 实验课程:计算机组成原理 实验室号:___田405 实验设备号: 43 实验时间:2010.12.19 指导教师签字:成绩: 实验一算术逻辑运算实验 1.实验目的和要求 1. 熟悉简单运算器的数据传送通路; 2. 验证4位运算功能发生器功能(74LS181)的组合功能。 2.实验原理 实验中所用到的运算器数据通路如图1-1所示。其中运算器由两片74181

以并/串形式构成8位字长的ALU。运算器的输出经过一个三态门(74245)和数据总线相连,运算器的两个数据输入端分别由两个锁存器(74373)锁存,锁存器的输入连接至数据总线,数据开关INPUT DEVICE用来给出参与运算的数据,并经过一个三态门(74245)和数据总线相连,数据显示灯“BUS UNIT”已和数据总线相连,用来显示数据总线内容。 图1-2中已将用户需要连接的控制信号用圆圈标明(其他实验相同,不再说明),其中除T4为脉冲信号,其它均为电平信号。由于实验电路中的时序信号均已连至W/R UNIT的相应时序信号引出端,因此,在进行实验时,只需将W/R UNIT 的T4接至STATE UNIT的微动开关KK2的输出端,按动微动开关,即可获得实验所需的单脉冲,而S3,S2,S1,S0,Cn,LDDR1,LDDR2,ALU-B,SW-B各电平控制信号用SWITCH UNIT中的二进制数据开关来模拟,其中Cn,ALU-B,SW-B为低电平控制有效,LDDR1,LDDR2为高电平有效。 3.主要仪器设备(实验用的软硬件环境) ZYE1603B计算机组成原理教学实验系统一台,排线若干。 4.操作方法与实验步骤

食品工程原理实验报告

姓名:陈蔚婷 学号:1363115 班级:13级食安1班 实验一:流体流动阻力的测定 、实验目的 1 ?掌握测定流体流经直管、管件和阀门时阻力损失的一般实验方法。 2?测定直管摩擦系数 入与雷诺准数Re 的关系,验证在一般湍流区内 入与Re 的关系曲线。 3?测定流体流经管件、阀门时的局部阻力系数 。 4?学会倒U 形压差计和涡轮流量计的使用方法。 5?识辨组成管路的各种管件、阀门,并了解其作用。 、基本原理 流体通过由直管、管件(如三通和弯头等)和阀门等组成的管路系统时,由于粘性剪应力和涡流 应力的存在,要损失一定的机械能。流体流经直管时所造成机械能损失称为直管阻力损失。流体通过 管件、阀门时因流体运动方向和速度大小改变所引起的机械能损失称为局部阻力损失。 1 ?直管阻力摩擦系数入的测定 流体在水平等径直管中稳定流动时,阻力损失为: P f P 1 P 2 l U 2 W f d 2 即, 2d p f l u (1) (2) 式中:入一直管阻力摩擦系数,无因次; d —直管内径,m ; P f —流体流经I 米直管的压力降,Pa ; w f —单位质量流体流经I 米直管的机械能损失,J/kg ; p —流体密度,kg/m 3 ; l —直管长度,m ; u —流体在管内流动的平均流速, m/s 。

式中:Re —雷诺准数,无因次; 卩一流体粘度,kg/(m s )。 湍流时入是雷诺准数Re 和相对粗糙度(& /d 的函数,须由实验确定。 由式(2)可知,欲测定 入需确定I 、d ,测定 p f 、u 、p □等参数。I 、d 为装置参数(装置 参数表格中给出), P □通过测定流体温度,再查有关手册而得, u 通过测定流体流量,再由管径 计算得到。 2 ?局部阻力系数 的测定 局部阻力损失通常有两种表示方法,即当量长度法和阻力系数法。 (1)当量长度法 流体流过某管件或阀门时造成的机械能损失看作与某一长度为 l e 的同直径的管道所产生的机械 (2)阻力系数法 流体通过某一管件或阀门时的机械能损失表示为流体在小管径内流动时平均动能的某一倍数, 局部阻力的这种计算方法,称为阻力系数法。即: ,P f u 2 w' f 故 式中: 一局部阻力系数,无因次; P f —局部阻力压强降,Pa ;(本装置中,所测得的压降应扣除两测压口间直管段的压降, 直管段的压降由直管阻力实验结果求取。) p —流体密度,kg/m 3 ; 滞流(层流) 时, 64 Re Re du (3) (4) 能损失相当,此折合的管道长度称为当量长度,用符号 l e 表示。这样,就可以用直管阻力的公式来计 算局部阻力损失,而且在管路计算时可将管路中的直管长度与管件、 则流体在管路中流动时的总机械能损失 W f 为: 阀门的当量长度合并在一起计算, l e W f (8) (9) 2 P f

综合布线实验报告

综合布线实验报告 Prepared on 22 November 2020

综合布线实验报告 姓名:xxx 学号:0614130x 班级:xxxxxx 课程名称 综合布线 实验项目 跳线制作 实验项目类型 验 证 演示 综合 设计 其 他 指导教师 xxx 成 绩 ■ 一、实验目的 1、熟悉双绞线的介质标准和RJ45连接器接口制作方法 2、掌握直通UTP 和交叉UTP 的使用环境 3、掌握测试网络通信速度的方法 二、实验环境 五类UTP 电缆,RJ-45水晶头,RJ-45线缆测试仪,压线钳,剥线钳,剪刀,装有网卡的计算机两台。 三、实验步骤 1、制作直通UTP 电缆 (1) 取一段1m 左右的双绞线,两端用剪刀剪齐,用剥线钳剥去一端的塑 料包皮约20mm 。注意在剥线的过程中不要将导线的绝缘层割破或弄 断导线。 (2) 将四对线扇状排开,从左到右一次为“橙白/橙”“蓝白/蓝”“绿白/绿”“棕 白/棕”。将缠绕的导线拉直,按照T568B 的线序平排。(见图) (3) 并拢、铰齐线头。并留有14mm 的长度。注意,芯线留得太长,芯 间的相互干扰就会增强。如果线芯太短,接头的金属不能全部接触 到芯线,则会造成断路或接触不良。 图:

(4)检查芯线的排列顺序,将钳断插入到RJ-45头中。注意插入的时候,水晶头的带有拴卡的一面向下。尽量将芯线顶到接头的前端。 (5)再次检查水晶头中的线序。并检查芯线是否已经到了水晶头的前端。 (6)将水晶头塞入压线钳的RJ-45插座内,用力压下压线钳的手柄。(见图 (7)用同样的方法完成另一头的制作,也按照568B的线序来制作。这样就完成直连线的制作了。 2、制作交叉UTP电缆 特别要注意:与前面制作方法一样,但一端用568B,另外一端则用568A 标准。这样就完成了交叉线的制作。 3、测试双绞线 要测试双绞线是否接通,可用测线器来测试。通常测试仪一组有两个:其中一个为信号发射器,另一个为信号接受器,双方各有8个LED灯以及一个RJ-45插槽。 (1)将两端做好RJ-45机头的网线两端别插至侧线器上。 (2)打开测线器的电源,观察测试灯闪烁的情况。正确的情况下,连在同一条芯线上的指示灯会一起亮起来。若发射器的第一个灯亮时, 接受器却没有仍和灯亮起,表示连通有问题。 (3)观察直通线和交叉线在测试时有什么差别 4、使用直连UTP电缆连接到交换机和网卡 将RJ-45接头插入计算机网卡或交换机接口,听到“喀”一声,就可以了。拔出接头时,应该按柱接头的卡拴。如果插入、拔出不顺,说明接头夹的不紧,在用压线钳用力夹一夹。 看指示交换机和网卡的指示灯是否亮 5、使用交叉UTP电缆连接两台计算机 操作方法同上,看指示网卡的指示灯是否亮 6、设置两台计算机的IP地址 按照操作4:将第一台计算机的IP地址设为:机器号1.机器号 图

计算机组成原理实验报告

重庆理工大学 《计算机组成原理》 实验报告 学号 __11503080109____ 姓名 __张致远_________ 专业 __软件工程_______ 学院 _计算机科学与工程 二0一六年四月二十三实验一基本运算器实验报告

一、实验名称 基本运算器实验 二、完成学生:张致远班级115030801 学号11503080109 三、实验目的 1.了解运算器的组成结构。 2.掌握运算器的工作原理。 四、实验原理: 两片74LS181 芯片以并/串形式构成的8位字长的运算器。右方为低4位运算芯片,左方为高4位运算芯片。低位芯片的进位输出端Cn+4与高位芯片的进位输入端Cn相连,使低4位运算产生的进位送进高4位。低位芯片的进位输入端Cn可与外来进位相连,高位芯片的进位输出到外部。 两个芯片的控制端S0~S3 和M 各自相连,其控制电平按表2.6-1。为进行双操作数运算,运算器的两个数据输入端分别由两个数据暂存器DR1、DR2(用锁存器74LS273 实现)来锁存数据。要将内总线上的数据锁存到DR1 或DR2 中,则锁存器74LS273 的控制端LDDR1 或LDDR2 须为高电平。当T4 脉冲来到的时候,总线上的数据就被锁存进DR1 或DR2 中了。 为控制运算器向内总线上输出运算结果,在其输出端连接了一个三态门(用74LS245 实现)。若要将运算结果输出到总线上,则要将三态门74LS245 的控制端ALU-B 置低电平。否则输出高阻态。数据输入单元(实验板上印有INPUT DEVICE)用以给出参与运算的数据。其中,输入开关经过一个三态门(74LS245)和内总线相连,该三态门的控制信号为SW-B,取低电平时,开关上的数据则通过三态门而送入内总线中。 总线数据显示灯(在BUS UNIT 单元中)已与内总线相连,用来显示内总线上的数据。控制信号中除T4 为脉冲信号,其它均为电平信号。 由于实验电路中的时序信号均已连至“W/R UNIT”单元中的相应时序信号引出端,因此,需要将“W/R UNIT”单元中的T4 接至“STATE UNIT”单元中的微动开关KK2 的输出端。在进行实验时,按动微动开关,即可获得实验所需的单脉冲。 S3、S2、 S1、S0 、Cn、M、LDDR1、LDDR2、ALU-B、SW-B 各电平控制信号则使用“SWITCHUNIT”单元中的二进制数据开关来模拟,其中Cn、ALU-B、SW-B 为低电平有效,LDDR1、LDDR2 为高电平有效。 对于单总线数据通路,作实验时就要分时控制总线,即当向DR1、DR2 工作暂存器打入数据时,数据开关三态门打开,这时应保证运算器输出三态门关闭;同样,当运算器输出结果至总线时也应保证数据输入三态门是在关闭状态。 运算结果表

食品工程原理课程教学基本要求

食品工程原理课程教学基本要求(征求意见稿) 一、本课程的地位、作用和任务 食品工程原理是食品科学与工程专业的一门主干课程和专业基础课程,具有较强的理论性,且与生产实际紧密相联系。学习本课程要求学生具备一定的物理学知识和物理化学知识。食品工程原理以食品加工单元操作为主要对象,研究食品物料在加工过程中的动量、能量、质量的传递与守恒关系。通过本课程的学习,掌握食品加工常见单元操作的基本原理与工艺计算,典型设备的设计计算。综合利用所学知识与食品工程生产实际相结合,着重培养分析与解决工程问题的方法和能力,为进一步学习食品领域的专业课程或从事食品工业生产及相关领域的工作打下扎实基础。 二、本课程的教学基本内容与要求 (一)理论教学部分 0. 绪论 (基本内容) 1)单元操作的基本概念;三种传递过程及其物理量的守恒 2)本课程的研究方法、学习要求 3)物理量的量纲与单位换算 (可选内容) 食品工程发展现状及趋势 1.流体流动 (基本内容) 1)流体静力学:流体的物理性质,流体静力学基本方程及其应用; 2)流体流动的守恒原理:流体流动的基本概念,质量守恒----连续性方程式,机械能守恒----伯努利方程式,动量守恒及其与机械能守恒之间的关系; 3)流体流动的内部结构:雷诺实验与流体流动类型,直圆管内流体的流速分布,流动边界层; 4)流体在管内的流动阻力:沿程阻力,局部阻力; 5)简单管路的计算 6)流量测量:测速管,孔板流量计,转子流量计; (可选内容) 非牛顿流体的流动阻力; 复杂管路(并联/分支)的计算; 2. 流体输送 (基本内容) 1)液体输送机械:离心泵;其他类型泵(容积泵、浓浆泵、磁力驱动泵); 2)气体输送机械:离心式风机,鼓风机和压缩机,真空泵及真空管路; 3)流体输送设备的种类特点及选型

计算机组成原理课程设计硬布线控制器的设计与实现

硬布线控制器的设计与调试 教学目的、任务与实验设备 教学目的 熟练掌握实验5和硬布线控制器的组成原理与应用。 复习和应用数据通路及逻辑表达式。 学习运用ISP(在系统编程)技术进行设计和调试的基本步骤和方法,熟 悉集成开发软件中设计调试工具的使用,体会ISP技术相对于传统开发技术的优点。 教学任务 按给定的数据格式和指令系统,在所提供的器件范围内,设计一台硬布线 控制器控制的模型计算机。 根据设计图纸,在通用实验台上进行组装,并调试成功。 在组装调试成功的基础上,整理出设计图纸和其他文件。 实验设备 微操作控制信号·····CnC1结果反馈信息指B1硬布线控制器指令(组合

逻辑网络)令Bn译寄码ispLSI1032E-70LJ84存模器块 T1W1T1W4启动 TJ停止节拍脉冲节拍电位/时钟发生器SKIP复位硬布线控制器结构方框图 计算机组成原理实验系统一台-4TEC 直流万用表一只 器件,则需要一台ISP)ispLSI1032。采用集成电路建议使用ISP芯片(一片 作设计、编程和下载使用。ispEXPERT)机运行设计自动化软件(例如PC 总体设计思路(描述指令系统,给数据通路) 条机器指令。实验设计中采用12采用与模型计算机相同的指令系统,即 条指令93条机器指令,只保留该指令系统的子集:去掉中断指令后的。采用的数据通路和微程序控制器方案相同。 ·数据通路图和数据通路控制信号 DBUS

CINS S2T4CEL#CER端口指令口端数据S1ALUALU_BUS LRW(T3)口端A口端BS0RAM LDAR1(T4) LDDR1(T3)LDDR2(T3)AR1_INC LDAR2(T2)AR1AR2DR2DR1M3MUX3M1M2MUX2MUX1DBUS LDPC(T4)RS_BUS#IAR_BUS#PC PC_ADD LDIAR IAR ALU2PC_INCRD0、RS0RD1、RS1端口B端口A RF WR0、 WR1WRD(T2)LDR4(T2)R4LDER(T4)ER M4MUX4DBUS SW_BUS#WR0、WR1RD0RD1、RS0、RS1控SW7—SW0制器控制..LDIR(T4)信IR.INTQ、C号 图4数据通路总体图2. 控制器的设计思路 硬布线控制器能够实现控制功能,关键在于它的组合逻辑译码电路。译码 电路的任务就是将一系列有关指令、时序等的输入信号,转化为一个个控制信号,输出到各执行部件中。 根据硬布线控制器的基本原理,针对每个控制信号S,可以列出它的译码

计算机组成原理实验报告(运算器组成、存储器)

计算机组成原理实验报告 一、实验1 Quartus Ⅱ的使用 一.实验目的 掌握Quartus Ⅱ的基本使用方法。 了解74138(3:8)译码器、74244、74273的功能。 利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。 二.实验任务 熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。 新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三种期间的功能。 三.74138、74244、74273的原理图与仿真图 1.74138的原理图与仿真图 74244的原理图与仿真图

1. 4.74273的原理图与仿真图、

实验2 运算器组成实验 一、实验目的 1.掌握算术逻辑运算单元(ALU)的工作原理。 2.熟悉简单运算器的数据传送通路。 3.验证4位运算器(74181)的组合功能。 4.按给定数据,完成几种指定的算术和逻辑运算。 二、实验电路 附录中的图示出了本实验所用的运算器数据通路图。8位字长的ALU由2片74181构成。2片74273构成两个操作数寄存器DR1和DR2,用来保存参与运算的数据。DR1接ALU的A数据输入端口,DR2接ALU的B数据输入端口,ALU的数据输出通过三态门74244发送到数据总线BUS7-BUS0上。参与运算的数据可通过一个三态门74244输入到数据总线上,并可送到DR1或DR2暂存。 图中尾巴上带粗短线标记的信号都是控制信号。除了T4是脉冲信号外,其他均为电位信号。nC0,nALU-BUS,nSW-BUS均为低电平有效。 三、实验任务 按所示实验电路,输入原理图,建立.bdf文件。 四.实验原理图及仿真图 给DR1存入01010101,给DR2存入10101010,然后利用ALU的直通功能,检查DR1、

食品工程原理实验报告

流化床干燥实验报告 姓名:张萌学号:5602111001 班级:食品卓越111班 一、实验目的 1.了解常压干燥设备的基本流程和工作原理。 2. 掌握测定干燥速度曲线的方法。 3. 掌握根据实验干燥曲线求取干燥速率曲线以及恒速阶段干燥速 率、临界含水量、平衡含水量的实验分析方法。 二、基本原理 1.干燥速率:单位干燥面积(提供湿分汽化的面积)、单位时间内所除去的湿分质量。 2.干燥速率的测定方法:利用床层的压降来测定干燥过程的失水量。需要用到的公式有: 物料中瞬间含水率X i=(△p-△p e)/△p e 式中:△p-时刻τ时床层的压差; 计算出每一时刻的瞬间含水率X i,然后将X i对干燥时间iτ作图,即为干燥曲线。 3.干燥过程分析: (1)物料预热阶段 (2)恒速干燥阶段 (3)降速干燥阶段。 非常潮湿的物料因其表面有液态水存在,当它置于恒定干燥条件下,则其温度近似等于热风的湿球温度tw ,到达此温度前的阶段称为

(1)阶段。在随后的第二阶段中,由于表面存有液态水,物料温度约等于空气的湿球温度tw,传入的热量只用来蒸发物料表面水分,在第(2)阶段中含水率X随时间成比例减少,因此其干燥速率不变,亦即为恒速干燥阶段。在第(3)阶段中,物料表面已无液态水存在,亦即若水分由物料内部的扩散慢于物料表面的蒸发,则物料表面将变干,其温度开始上升,传入的热量因此而减少,且传入的热量部分消耗于加热物料,因此干燥速率很快降低,最后达到平衡含水率而终止。(2)和(3)交点处的含水率称为临界含水率用X0表示。对于第(2)(3)阶段很长的物料,第(1)阶段可忽略,温度低时,或根据物料特性亦可无第二阶段。 三、实验装置与流程 1.主要设备及仪器 (1)鼓风机:BYF7122,370W; (2)电加热器:额定功率2.0KW; (3)干燥室:Φ100mm×750mm; (4)干燥物料:耐水硅胶; (5)床层压差:Sp0014型压差传感器,或U形压差计。 2.实验装置

e网络综合布线实验报告完整.

桂林航天工业高等专科学校 电子工程系 网络综合布线课程实验报告 2011-----2012学年第二学期 专业: 班级: 学号: 姓名: 同组者: 指导教师:

任务一建筑物基本情况与用户需求调查实践 一、目的与要求 通过实训掌握综合布线总体方案和各子系统的设计方法,熟悉一种施工图的绘制方法(AUTOCAD 或VISIO ),掌握设备材料预算方法、工程费用计算方法。设计内容符合国家《建筑与建筑群综合布线系统工程设计规范GBT-T-50311-2000 》以桂林航专2号实验楼为综合布线工程的设计目标,通过设计,掌握综合布线总体方案和各子系统的设计方法,熟悉一种施工图的绘制方法(AUTOCAD 或VISIO ),掌握设备材料预算方法、工程费用计算方法。 二、实验内容 通过对桂林航专2号实验楼的实地测量和考察,完成下列任务: 1、工程概况现场考察,画出项目建筑三视图、平面图(标注尺寸) 2、用户需求调查(按二号实验楼的具体实验室分布进行估算) 三、实验步骤 1 )、现场勘测大楼,从用户处获取用户需求和建筑结构图等资料,掌握大楼建筑结构,熟悉用户需求、确定布线路由和信息点分布。 2 )、总体方案和各子系统的设计。 3 )、根据建筑结构图和用户需求绘制综合布线路由图,信息点分布图。 4 )、综合布线材料设备预算。 5 )、设计方案文档书写。 四、实验结果记录(以报告形式,每组一份另行装订) 五、实验心得体会:(手写)

任务二RJ-45跳线制作与测试 一、实训目的:掌握网络跳线的制作方法 二、实训理论与步骤: 制作步骤如下: 步骤 1:利用斜口错剪下所需要的双绞线长度,至少 0.6米,最多不超过 100米。然后再利用双绞线剥线器(实际用什么剪都可以)将双绞线的外皮除去2-3厘米。有一些双绞线电缆上含有一条柔软的尼龙绳,如果您在剥除双绞线的外皮时,觉得裸露出的部分太短,而不利于制作RJ-45接头时,可以紧握双绞线外皮,再捏住尼龙线往外皮的下方剥开,就可以得到较长的裸露线; 步骤 2:剥线完成后的双绞线电缆; 步骤 3:接下来就要进行拨线的操作。将裸露的双绞线中的橙色对线拨向自己的前方,棕色对线拨向自己的方向,绿色对线剥向左方,蓝色对线剥向右方; 步骤 4:将绿色对线与蓝色对线放在中间位置,而橙色对线与棕色对线保持不动,即在靠外的位置,调整线序为以下顺序 左一:橙左二:蓝左三:绿左四:棕 步骤 5:小心的剥开每一对线,白色混线朝前。因为我们是遵循EIA/TIA 568B的标准来制作接头,所以线对颜色是有一定顺序的。 需要特别注意的是,绿色条线应该跨越蓝色对线。这里最容易犯错的地方就是将白绿线与绿线相邻放在一起,这样会造成串扰,使传输效率降低。左起:白橙/橙/白绿/蓝/白蓝/绿/白棕/棕常见的错误接法是将绿色线放到第 4只脚的位置。 应该将绿色线放在第 6只脚的位置才是正确的,因为在100BaseT网络中,第3只脚与第6只脚是同一对的,所以需要使用同一对线。(见标准EIA/TIA 568B)左起:白橙/橙/白绿/蓝/白蓝/绿/白棕/棕 步骤 6:将裸露出的双绞线用剪刀或斜口钳剪下只剩约 13mm的长度,之所以留下这个长度是为了符合EIA/TIA的标准,您可以参考有关用RJ-45接头和双绞线制作标准的介绍。最后再将双绞线的每一根线依序放入RJ-45接头的引脚内,第一只引脚内应该放白橙色的线,其余类推。 步骤 7:确定双绞线的每根线已经正确放置之后,就可以用RJ-45压线钳压接RJ -45接头,市面上还有一种RJ-45接头的保护套,可以防止接头在拉扯时造成接触不良。使用这种保护套时,需要在压接RJ-45接头之前就将这种胶套插在双绞线电缆上。 步骤8:网络跳线的测试 将制作好的网络跳线接到测试仪的两个端口,仔细观察信号出现的顺序。 三、实训心得体会:(详细记录自己制作网络跳线的过程,总结成功经验和测试结果,写在背面)

《食品工程原理》教学大纲

食品工程原理课程教学大纲 一、课程基本概况 课程名称:食品工程原理 课程名称(英文):PRINCIPLES OF FOOD ENGINEERING 课程编号:0611306 课程总学时:70学时(讲课60学时,实验10学时) 课程学分:3.5学分 课程分类:必修课 开设学期:第4学期 适用专业:食品科学与工程专业 先修课程:《高等数学》、《大学物理》、《物理化学》、《机械制图》等课程 后续课程:《粮油食品工艺学》、《畜产食品工艺学》、《果蔬食品工艺学》、《食品机械》、《食品工厂设计》 二、课程的性质、目的和任务 本课程是食品科学与工程专业主要的必修课之一。本课程是在高等数学、物理学、物理化学等课程的基础上开设的一门专业基础课程,是承前启后,由理及工的桥梁。主要目的是培养分析和解决有关单元操作各种问题的能力,以便在食品生产、科研与设计中到强化生产过程,提高产品质量,提高设备生产能力及效率,降低设备投资及产品成本,节约能耗,防止污染及加速新技术开发等。主要任务是:研究单元操作的基本原理、典型设备的构造及工艺尺寸的计算(或选型)。 三、主要内容、重点及深度 (一)理论教学 绪论 目的要求:了解食品工程原理的性质、任务、学习方法;掌握单位换算、物料衡算、能量衡算的基本方法。 主要内容: 一、食品工程原理的发展历程 二、食工原理的性质、任务、与内容 三、单位制与单位换算 四、物料衡算 五、能量衡算 六、过程平衡与速率 重点:单元操作的概念单位换算、物料衡算、能量衡算。 难点:经验公式的单位变换、试差计算法 1 / 8

第一章流体流动 目的要求:使学生了解流体平衡和运动的基本规律,熟练掌握静力学基本方程式、连续性方程式、柏努力方程式的内容和应用、流体在管内的流动阻力,在此基础上解决管路计算、输送设备功率计算等问题。 重点:静力学基本方程式、连续性方程式、柏努力方程式的内容和应用、流体在管内的流动阻力 难点:柏努力方程式的推导及其应用、流动边界层的概念、流动阻力计算公式的推导 主要内容: 第一节流体静力学方程式及其应用 一、流体静力学方程式 二、流体静力学基本方程式的应用 第二节流体在管内的流动 一、稳定流动与不稳定流动 二、连续性方程式 三、柏努利方程式 四、柏努利方程式的应用 第三节流体在管内的流动阻力 一、顿粘性定律与流体的粘度 二、流动类型与雷诺准数 三、滞流与湍流 四、边界层的概念 五、流动阻力 第四节管路计算与流量测量 一、管路计算 二、流量测量 第二章粉碎与筛分 目的要求:掌握粉碎与筛分单元操作的基本概念、基本原理和基本计算。 重点:粒度的大小、形状及分布,粉碎速率、粉碎能耗、平均粒度、筛分速率 难点:食品物料粒度的大小、形状及分布,粉碎速率、粉碎能耗、平均粒度、筛分速率。 主要内容: 第一节粉碎 一、概述 二、粉碎理论 第二节筛分 一、筛分理论

综合布线实验报告doc

综合布线实验报告 篇一:网络综合布线实训报告 郑州轻工业学院 实验报告 实验名称: 课程名称: 院(系): 专业班级: 姓名: 学号: 成绩: 指导教师: 日期: 地点:网络综合布线网络综合布线计算机与通信工程学院网络工程 目录 一、设计概述 ................................................ . (1) 二、总体设计 ................................................ . (1)

2.1实验 一................................................. .. (1) 2.1.1实验目的................................................. .. (1) 2.1.2实验准备................................................. .. (1) 2.1.3实验步骤................................................. .. (1) 2.2实验 二................................................. .. (3) 2.2.1实验目的................................................. .. (3) 2.2.2实验准备................................................. .. (4) 2.2.3实验步

计算机组成原理实验报告

计算机组成原理实验报告 ——微程序控制器实验 一.实验目的: 1.能瞧懂教学计算机(TH-union)已经设计好并正常运行的数条基本指令的功能、格式及执 行流程。并可以自己设计几条指令,并理解其功能,格式及执行流程,在教学计算机上实现。 2.深入理解计算机微程序控制器的功能与组成原理 3.深入学习计算机各类典型指令的执行流程 4.对指令格式、寻址方式、指令系统、指令分类等建立具体的总体概念 5.学习微程序控制器的设计过程与相关技术 二.实验原理: 微程序控制器主要由控制存储器、微指令寄存器与地址转移逻辑三大部分组成。 其工作原理分为: 1、将程序与数据通过输入设备送入存储器; 2、启动运行后从存储器中取出程序指令送到控制器去识别,分析该指令要求什么事; 3、控制器根据指令的含义发出相应的命令(如加法、减法),将存储单元中存放的操作数据取出送往运算器进行运算,再把运算结果送回存储器指定的单元中; 4、运算任务完成后,就可以根据指令将结果通过输出设备输出 三.微指令格式: 其中高八位为下地址字段、其余各位为控制字段、 1)微地址形成逻辑 TH—UNION 教学机利用器件形成下一条微指令在控制器存储器的地址、 下地址的形成由下地址字段及控制字段中的CI3—SCC控制、当为顺序执行时,下地址字段不起作用、下地址为当前微指令地址加1;当为转移指令(CI3—0=0011)时,由控制信号SCC 提供转移条件,由下地址字段提供转移地址、 2)控制字段 控制字段用以向各部件发送控制信号,使各部件能协调工作。 控制字段中各控制信号有如下几类: ①对运算器部件为了完成数据运算与传送功能,微指令向其提供了24位的控制信号,包括:4位的A、B口地址,用于选择读写的通用积存器3组3位的控制码I8-I6、 I5-I3、I2-I6,用于选择结果处置方案、运算功能、数据来源。 3组共7位控制信号控制配合的两片GAL20V8 3位SST,用于控制记忆的状态标志位 2位SCI,用于控制产生运算器低位的进位输入信号 2位SSH,用于控制产生运算器最高,最地位(与积存器)移位输入信号 ②对内存储器I/O与接口部件,控制器主要向它们提供读写操作用到的全部控制信号,共3位,即MRW

网络综合布线 实训报告

郑州轻工业学院实验报告 实验名称:网络综合布线 课程名称:网络综合布线 院(系):计算机与通信工程学院专业班级:网络工程 姓名: 学号: 成绩: 指导教师: 日期: 地点:

目录 一、设计概述 (1) 二、总体设计 (1) 2.1实验一 (1) 2.1.1实验目的 (1) 2.1.2实验准备 (1) 2.1.3实验步骤 (1) 2.2实验二 (3) 2.2.1实验目的 (3) 2.2.2实验准备 (4) 2.2.3实验步骤 (4) 2.3实验三 (6) 2.3.1实验目的 (6) 2.3.2实验准备 (6) 2.3.3实验步骤 (6) 2.4实验四 (7) 2.4.1实验目的 (8) 2.4.2实验准备 (8) 2.4.3实验步骤 (8) 2.5实验五 (11) 2.5.1实验目的 (11) 2.5.2实验准备 (11) 2.5.3实验步骤 (12) 2.6实验六 (13) 2.6.1实验目的 (13) 2.6.2实验准备 (13) 2.6.3实验步骤 (14) 三、实验总结 (16)

一、设计概述 网络综合布线要求熟练掌握综合布线七个子系统的划分方式、位置、作用。能够完成七个子系统的设计,并计算设计预算。能够熟练绘制综合布线施工图。熟练掌握综合布线各种工具、材料的用途和使用方法。 二、总体设计 2.1实验一 1综合布线产品及工具认知教学 2双绞线端接故障认知教学 2.1.1实验目的 1)认识综合布线工程中常用的传输介质。 2)认识综合布线工程中常用的连接器件。 3)认识综合布线工程中常用的工具。 4)能够正确选购使用传输介质、连接器件及工具。 5)知道综合布线工程中常见的双绞线端接故障种类和产生原因。 6)认识到双绞线端接故障对数据传输的影响。 2.1.2实验准备 1)熟悉综合布线常用的传输介质种类、规格和用途。 2)熟悉综合布线工程中常用的连接器件种类、规格和功能。 3)熟悉综合布线工程中常用的工具和使用方法。 4)熟悉双绞线接线端各种故障。 2.1.3实验步骤 1)参观”华育?”综合布线器材工具展示柜,如图2.1-1所示。

计算机组成原理实验报告册

实验一监控程序与汇编实验 实验时间:第周星期年月日节实验室:实验台: (以上部分由学生填写,如有遗漏,后果由学生本人自负) 1、实验目的 1)了解教学计算机的指令格式、指令编码、选择的寻址方式和具体功能。 2)了解汇编语言的语句与机器语言的指令之间的对应关系,学习用汇编语言设计程序的过程和方法。 3)学习教学机监控程序的功能、监控命令的使用方法,体会软件系统在计算机组成中的地位和作用。 2、实验平台 硬件平台:清华大学TEC-XP实验箱的MACH部分 软件平台:监控程序、PC端指令集仿真软件 3、实验要求 1)学习联机使用TEC-XP 教学实验系统和仿真终端软件; 2)使用监控程序的R 命令显示/修改寄存器内容、D 命令显示存储器内容、E 命令修改存储器内容; 3)使用A 命令写一小段汇编程序,使用U命令观察汇编码与机器码之间的关系,用G 命令连续运行该程序,用T命令单步运行并观察程序单步执行情况。 **代码不得写到0000——1FFF的地址单元中,如有违反将被取消当堂成绩 4、操作步骤及实验内容 1)实验箱功能开关设置及联机操作: 1. 将实验箱COM1口与PC机相连; 2. 设置功能状态开关为00110; 3. 于PC端运行; 4. 按RESET,START键,若PC端出现如下输出(如图所示),则操作成功; 图 2)仿真软件相关操作: 1. 在项目文件夹找到并启动; 图

2. 点击文件-启动监控程序; 图 4.若PC端出现如下输出(如图所示),则操作成功; 图 3)理解下列监控命令功能: A、U、G、R、E、D、T 1. A命令:完成指令汇编操作,把产生的指令代码放入对应的内存单元中,可连 续输入。不输入指令直接回车,则结束A命令(如图所示); 图 2. U命令:从相应的地址反汇编15条指令,并将结果显示在终端屏幕上(如图所 示); 图 注:连续使用不带参数的U命令时,将从上一次反汇编的最后一条语句之后接着继续反汇编。 3. G命令:从指定(或默认)的地址运行一个用户程序(如图所示); 图 4. R命令:显示、修改寄存器内容,当R命令不带参数时,显示全部寄存器和状 态寄存器的值(如图所示); 图 5. E命令:从指定(或默认)地址逐字显示每个内存字的内容,并等待用户打入 一个新的数值存回原内存单元(如图所示); 图 6. D命令:从指定(或默认)地址开始显示内存120个存储字的内容(如图所示);

综合布线设计实验报告

桂林电子科技大学 综合布线实验报告 实验名称布线工程图设计制作实验辅导员意见: 电子信息工程系通信技术专业 班第 2 实验小组 作者张三学号 091 同作者辅导员 实验日期 2011 年 5 月 31 日成绩签名一、实验目的 通过综合实验掌握综合布线总体方案和各子系统的设计方法,熟悉一种施工图的绘制方法(AUTOCAD 或 VISIO ),掌握设备材料预算方法、工程费用计算方法。设计内容符合国家《建筑与建筑群综合布线系统工程设计规范GBT-T-50311-2000 》。 二、实验设备 1、测量尺1把 2、VISIO软件 3、WORD、EXCEL等OFFICE软件 三、实验内容 1、工作区子系统设计 2、水平子系统设计

3、垂直子系统设计 4、管理间子系统设计 5、设备间子系统设计 6、建筑群子系统设计 7、总体方案设计 四、实验课时 4课时。 五、实验原理 综合布线是一项新兴的产业,它不完全是建筑工程中的“弱电”工程。综 合布线设计是否合理,直接影响到电话、计算机等设备的功能。 由于综合布线配线间以及所需的电缆竖井、孔洞等设施都与建筑结构同时设 计和施工,即使有些内部装修部分可以不同步进行,但是它们都依附于建筑物的 永久性设施,所以在具体实施综合布线的过程中,各工种之间应共同协商,紧密 配合,切不可互相脱节和发生矛盾避免疏漏造成不应有的损失或留下难以弥补的 后遗症。 六、实验步骤 设计一个合理的综合布线系统一般有7个步骤 : (1)分析用户需求。 (2)获取建筑物平面图。 (3)系统结构设计。 (4)布线路由设计。 (5)可行性论证。

(6)绘制综合布线施工图。 (7)编制综合布线用料清单。 具体实验内容及步骤如下: 1 、现场勘测大楼,从用户处获取用户需求和建筑结构图等资料,掌握大楼建筑结构,熟悉用户需求、确定布线路由和信息点分布。 2 、根据汇出的布线路由图,估算出施工中以下材料的数量清单:单口面板、 86 型标准底盒、信息模块的数量,超五类 UTP 双绞线的箱数( 305M / 箱),立式机柜大小(用多少 U 表示), 24 口配线架数量,(只需要计算或估算给出的这一层楼所需材料),制成表格,包括材料的种类、规格、数量。估算线材要有计算步骤和计算过程。同时回答以下问题:放线时怎样做放线记录?如何快速算出所放双绞线的长度?怎样快速算出一箱双绞线所剩的长度? 3、根据布线路由图,计算从网络信息中心到弱电井、从信息网络中心到走廊的金属线槽截面的大小和型号,以及金属线槽连接件的型号和数量(包括立柱、托臂水平弯通、水平三通等), PVC 槽及连接件的型号和数量、 PVC 管的型号和数量,其它配件的种类和数量,施工中需要哪些工具?计算金属线槽大小时要有计算步骤和计算过程。 4、设计方案文档书写,包括工程概述、设计方案、材料清单、工程预算和标书。 八、布线工程设计实例 1、第七教学楼1层网络布线设计 布线工程设计图如下:

传热实验实验报告

传热实验 一、实验目的 1、了解换热器的结结构及用途。 2、学习换热器的操作方法。 3、了解传热系数的测定方法。 4、测定所给换热器的传热系数K。 5、学习应用传热学的概念和原理去分析和强化传热过程,并实验之。 二、实验原理 根据传热方程Q=KA△tm,只要测得传热速率Q,冷热流体进出口温度和传热面积A,即可算出传热系数K。在该实验中,利用加热空气和自来水通过列管式换热器来测定K,只要测出空气的进出口温度、自来水进出口温度以及水和空气的流量即可。 在工作过程中,如不考虑热量损失,则加热空气释放出的热量Q1与自来水得到的热量Q2应相等,但实际上因热损失的存在,此两热量不等,实验中以Q2为准。 三、实验流程和设备 实验装置由列管换热器、风机、空气电加热器、管路、转子流量计、温度计等组成。空气走管程,水走壳程。列管式换热器的传热面积由管径、管数和管长进行计算。 实验流程图:

四、实验步骤及操作要领 1、熟悉设备流程,掌握各阀门、转子流量计和温度计的作用。 2、实验开始时,先开水路,再开气路,最后再开加热器。 3、控制所需的气体和水的流量。 4、待系统稳定后,记录水的流量、进出口温度,记录空气的流量和进出口温度,记录设备的有关参数。重复一次。 5、保持空气的流量不变,改变自来水的流量,重复第四步。 6、保持第4步水的流量,改变空气的流量,重复第四步。 7、实验结束后,关闭加热器、风机和自来水阀门。 五、实验数据记录和整理 1、设备参数和有关常数 换热流型错流;换热面积 0.4㎡ 2、实验数据记录

六、实验结果及讨论 1、求出换热器在不同操作条件下的传热系数。 计算数据如上表,以第一次记录数据序号1为例计算说明: 度 水的算数平均温度:水流量:空气流量:水气4.2029 .219.182/0222.03600 1000 1080/0044.03600 16 213=+=+==??=== -t t T s kg W s m V s J t t C W Q K kg J C p p /867.278)9.189.21(41830222.0)() /(418312=-??=-??=?=传热速率比热容:查表得,此温度下水的 K =-----=-----= ?2479.369.182.299 .21110ln 9.182.29)9.21110(ln )()() (对数平均温度水进 气出水出气进水进气出水出气进逆T T T T T T T T t m 9333 .269 .189.212.291100329.09 .181109 .189.2112211112=--=--==--=--= t t T T R t T t t P K =?=??ψ=?∴=ψ??2479.362479.360.10 .1逆查图得校正系数m t m t t t ) /(1717.192 1101 .192333.19) /(2333.192479 .364.0867 .27822K m W K K K m W t S Q K m ?=+= ?=?=??= 的平均值:传热系数

硬布线控制器控制的CPU设计

硬布线控制器控制的CPU设计 &硬布线控制器控制的CPU设计步骤: 1、确定CPU用途; 2、设计指令集,同时,设计指令访问寄存器; 3、设计CPU状态图; 4、建立数据通路; 5、设计控制器。 &设计过程: 一.确定CPU用途: CPU指令执行包括三个阶段: 1、取指令阶段:从存储器取出一条指令; 2、指令译码阶段:对取出的指令进行译码,即确定取到的指令是何种指令,然后转移到该种指令的执行阶段; 3、指令执阶段:执行指令。 指令执行完毕,又转移到下一条指令的取指令阶段,开始新一轮的循环。 CPU状态图二设计指令集: 执行

内存有32个存储单元,每个存储单元8位(一个字节);CPU有5根地址线,即A4、…、A0,8根 三.指令格式 指令格式 专用寄存器: 1、程序计数器PC:5位; 2、地址寄存器AR:5位,接地址总线A[4..0]; 3、数据寄存器DR:8位,接数据总线D[7..0]; 4、指令寄存器IR:8位; 5、程序状态字寄存器PSW:只有进位C一个标志值,可用C代表PSW。 三.设计CPU状态图

5.3.4 取指令和译码周期 5.3.5 取指令和指令执行过程

四.建立数据通路 数据通路:数据在各功能部件之间传送路径。 有两种数据通路设计方案: 1、专用数据通路方式:在需要传送数据的部件之间创建一条专门的直接通路 。特点:数据传输性能高,但硬件设计量大。 2、内部总线方式:所有寄存器的输入端和输出端都连接到一条或多条公共通路上。特点:结构简单,但可能存在冲突现象 控制信号说明 ARLD :AR 锁存信号 PCLD :PC 锁存信号 PC+1:PC 自加1控制信号 DRLD :DR 锁存信号 ACLD :AC 锁存信号 ALU(-):ALU 减法触发信号 IRLD :IR 锁存信号 PCBUS :PC 三态输出控制信号 DRBUS :DR 三态输出控制信号 ACBUS :AC 三态输出控制信号 IRBUS :IR 三态输出控制信号 MBUS :存储器三态输出控制信号 RD :存储器读信号 WR :存储器写信号 寄存器及总线设计 CPU 状态及其控制信号 T 4T T

计算机组成原理实验报告

实验一8位程序计数器PC[7:0]的设计 实验要求: 1.分别用图形方式和V erilog HDL语言设计8位程序计数器,计数器带有复位,计数,转移功能。 2.具体要求参见1_部件实验内容.doc说明文件。 实验实现: 1.用图形方式设计实现8位程序计数器,用到了两个74LS161四位十六进制计数器,主要步骤是两个四位十六进制计数器的串联,低四位计数器的进位端RCO连到高四位计数器的进位使能端ENT,然后连上reset、clk、ir[7:0]、t[1:0]、pc[7:0]、rco等输入输出信号,最后加上转移控制逻辑即可。注意两个十六进制计数器是同步的,具体参见PC_8bit.gdf文件。 2.编译通过,建立波形仿真文件,设置输入信号参数。注意在一张图中同时实现复位(reset低位有效)、计数、转移功能,最后加上一些文字注释即可,具体参见PC_8bit.scf文件。 3.用V erilog HDL语言设计实现8位程序计数器。在已经实现.gdf文件的基础上使用库函数形式是很容易编写出.v文件的,不过学生选择了行为描述方式实现,因为后者更具有通用性,依次实现8位程序计数器的复位、计数、转移功能即可,具体参见PC_8bit.v文件。 4.编译仿真类似上述步骤2。 实验小结: 1.这是计算机组成原理的第一个实验,比较简单,按照实验要求即可完成实验。通果这次实验,我对Max+Plus软件的使用方法和V erilog HDL语言编程复习了一遍,为后面的实验打好基础。 实验二CPU运行时序逻辑的设计 实验要求: 1.用V erilog HDL 语言设计三周期时序逻辑电路,要求带复位功能,t[2:0]在非法错误状态下能自动恢复。(比如说110恢复到001)。 2.具体要求参见1_部件实验内容.doc说明文件。 实验实现: 1.用V erilog HDL 语言设计实现带复位和纠错功能的三周期时序逻辑电路。输入clk外部时钟信号和reset复位信号(低位有效),输出ck内部时钟信号和三周期信号t[2:0]。利用两级3位移位式分频逻辑实现,具体参见cycle_3.v文件。 2.编译通过,建立波形仿真文件,设置clk外部时钟信号和reset复位信号,Simulate 即可输出实验要求中显示的波形。 实验小结: 1.刚做这个实验的时候不知道CPU运行时序逻辑设计的真实用途,在进一步学习了计算机组成原理的理论知识,做cpu4实验后才知道是用来由外部时钟信号clk产生内部时钟信号ck以及三周期信号t[2:0]的。刚完成本次实验的时候未添加三周期信号t[2:0]的自动功能,后来完成cpu4后补上了。 实验三静态存储器的设计与读写验证 实验要求: 1.设计一个SRAM存储器,地址和数据都是8位,存储容量是256个字节。 2.采用异步的时序逻辑设计方式,数据是双向的,输入输出不寄存,存储器的地址也不寄存。 3.具体要求参见1_部件实验内容.doc说明文件。 实验实现:

相关主题
文本预览
相关文档 最新文档