Matlab_Simulink和modelsim联合仿真
- 格式:ppt
- 大小:2.00 MB
- 文档页数:89
1. 联合仿真环境设置:软件环境:AMESimR10VC++6.0MA TLAB/Simulink2010a1.将VC++中的"vcvar32.bat"文件从Microsoft Visual C++目录(通常是. \Microsoft Visual Studio\VC98\Bin中)拷贝至AMESim目录下。
2.环境变量确认:1) 选择“控制面板-系统”或者在“我的电脑”图标上点右键,选择“属性”;在弹出的“系统属性”窗口中选择“高级”页,选择“环境变量”;2) 在弹出的“环境变量”窗口中找到系统变量“AME”,它的值就是你所安装AMESim的路径,选中改环境变量;比如AMESim10安装目录(即AMESim10安装文件的存储目录)是:C:\AMESim\v1000(D:\AMESim就是错误的),那么“AME”的值就是C:\AMESim\v1000, 点击“确认”按键,该变量就会加到系统中;3) 按上述步骤设置系统变量“MATLAB”,该值为MA TLAB文件所安装的路径,例如Matlab 2010a按照文件的存储路径为:D:\Program Files\MATLAB\R2011a,那么“MA TLAB”的值就是D:\Program Files\MATLAB\R2010a,点击“确认”按键,该变量就会加到系统中;4) 同样的方式定义系统变量LM_LICENSE_FILE,值为C:\AMESim\v1000\licensing\license.dat,值就是AMESim软件许可文件的存储路径。
即LM_LICENSE_FILE=C:\AMESim\v1000\licensing\license.dat。
3. 在AMESim中选择VC作为编译器。
具体操作在AMESim->Opions-> AMESimPreferences->Compilation中;进去后选择Microsoft Visual C++项,然后点击OK确认。
simulink/modelsim联合仿真XX学生的师傅2016年5月13日Simulink/Modelsim 联合仿真操作步骤本人使用的matlab版本为:matlab R2014a ; modelsim版本为:Modelsim SE-64 10.1c。
以下内容是参考自matlab帮助文档,结合自己第一次联合仿真的经验得出,如有不到之处,不能帮助解决问题还请原谅。
第一次使用markdown,如阅读体验不好,你咬我啊以下正文1.新建目录2.在matlab中配置cosimulation block1.在matlab中运行cosimWizard。
2.按要求配置。
3.在simulink或matlab中搭建仿真模型4.从matlab或simulink中启动modelsim两种方法:•在matlab中运行vism或vism('socketsimulink'),4449 ,其中4449为端口号,根据实际情况确定。
之后需在modelsim中加载verilog文件,并输入vsimulink work.uq_pmsm ,其中uq_pmsm为实体名,根据实际情况替换。
•双击simulink中的Launch HDL Simulator块启动modelsim。
以下两种情况: - 方法一启动实体仿真、方法二modelsim加载实体完成后,若一直显示loading。
- 直接在simulink中运行仿真时出现错误,提示change port。
可进入任务管理器结束lmutil.exe进程。
黑科技,不确保有效。
5.在simulink中启动仿真,此时modelsim会同步进行仿真。
目录摘要 (1)0 引言 (1)1 联合仿真技术 (2)1.1 联合仿真技术的特点与应用 (2)1.2 联合仿真技术的实现途径 (2)2 联合仿真接口技术 (3)2.1 系统环境配置 (3)2.2 系统编译器配置 (3)3 联合仿真应用举例 (5)4 结论 (8)致谢 (8)参考文献 (9)AMESim与Matlab_Simulink联合仿真技术机械电子系0802班李敏M200870228摘要:根据AMESim与Matlab/Simulink软件各自的特点,对两者联合仿真技术进行了研究,解决了联合仿真的接口与实现问题,并把该技术应用于电液位置伺服系统的仿真,取得了良好的效果。
关键词:AMESim;Matlab/Simulink;联合仿真;接口Abstract:United Matlab/Simulink technique with AMESim and Matlab/Simulink was discussed based on their own characteristics. The problem of their interface and realization were solved. As an applied example, Matlab/Simulink of electro hydraulic servo-system was shown. Good results were achieved.Keywords:AMESim;Matlab/Simulink;United simulation;Interface0 引言传统的设计方法往往是通过反复的样品试制和试验来分析该系统是否达到设计要求,结果造成大量的人力和物力投入在样品的试制和试验上。
随着计算机仿真技术的发展,在工程系统的软件设计开发中,大量地采用了数值成型的方法,即通过建立系统的数值模型,利用计算机仿真使得大量的产品设计缺陷在物理成型之前就得到了处理,从而可以使企业在最短的时间、以最低的成本将新产品投放到市场。
这里我们利用Matlab中的Simulink和SimMechanics做仿真,那么先来看看相关的资料。
SimMechanics——机械系统建模和仿真SimMechanics 扩展Simscape™ 在三维机械系统建模的能力。
用户可以不进行方程编程,而是借助该多刚体仿真工具搭建模型,这个模型可以由刚体、铰链、约束以及外力组成。
自动化3-D动画生成工具可做到仿真的可视化。
用户也可通过从CAD系统中直接导入模型的质量、惯量、约束以及三维几何结构。
Real-Time Workshop可以对SimMchanics模型进行自动化C代码生成,并在硬件在回路仿真过程中可以使用生成的代码而不是硬件原型测试嵌入式控制器。
SimMechanics可以用于开发悬架、机器手臂、外科医疗设备、起落架和大量的其它机械系统。
用户也可以在SimMechanics环境下集成其它的MathWorks物理建模工具,这样做可以实现更加复杂跨领域的物理建模。
特点:∙提供了三维刚体机械系统的建模环境∙包含了一系列分析机械运动和设计机械元件尺寸的仿真技术∙三维刚体可视化仿真∙SimMechanics Link utility,提供Pro/ENGINEER 和SolidWorks CAD平台的接口并且也提供了API函数和其它CAD平台的接口∙能够把模型转化为C代码(使用Real-Time Workshop)∙由于集成在Simulink环境中,因此可以建立高精度、非线性的模型以支持控制系统的开发和测试。
强大功能:搭建机械系统模型使用SimMechanics用户仅需要收集物理系统信息即可建立三维机械系统模型。
使用刚体、坐标系、铰链和作用力元素定义和其它Simulink模型直接相连的部分。
这个过程可以重用Simulink模型以及扩展了SimMechanics工具的能力。
用户还可把Simulink模型和SimMechnics模型集成为一个模块,并可封装成可在其它模型中复用的子系统。
使用Link for ModelSim ,你可以建立一个有效的环境来进行联合仿真、器件建模、以及分析和可视化。
进行如下的实例的开发。
1 :可以在MA TLAB或Simulink 中针对HDL实体开发软件测试基准(test bench) 。
2 :可以在Simulink 中对包含在大规模系统模型的HDL 模型进行开发和仿真。
3 :可以生成测试向量进行测试、调试,以及同MA TLAB/Simulink下的规范原形进行HDL 代码的验证。
4 :提供在MA TLAB/Simulink下的对HDL行为级的建模能力。
5 :可以在MA TLAB/Simulink下对HDL的实现进行验证、分析、可视化。
Link for ModelSim中MA TLAB与ModelSim 接口和Simulink与ModelSim 接口是独立的。
这使得你可以单独使用一个接口或同时使用两个。
使用ModelSim和MATLAB的接口使用Link for ModelSim后,你可以使用MA TLAB和它提供的工具箱,比如设计和仿真信号处理,或者其他的数值计算算法。
你还可以用HDL来取代算法和系统设计中的器件模型,并直接完成HDL器件和MA TLAB中剩余算法的联合仿真。
使用ModelSim和Simulink的接口你可以通过Simulink和相关的Blockset创建一个关于信号处理方面或者通信系统方面的系统级设计。
你也可以把HDL 器件合并到设计中或者用HDL模块来取代相应的子系统,并借此来创建软件测试基准来验证你的HDL实现。
ModelSim 中联合仿真模块的参数对话框可以让你很容易的设置输入输出端口,二者连接的属性,时钟,以及TCL命令。
本图显示了在MATLAB和ModelSim的接口关系。
把在MATLAB 中获得的测试基准代码输出作为输入输入到VHDL实体中,并把经过ModelSim的输出输入到MATLAB函数中Link for ModelSim还提供一个模块来生成VCD的文件格式,可以用来:1 :观察在HDL仿真环境下的Simulink仿真波形2 :使用相同或不同的仿真环境来比较多个不同仿真运行的结果。
Simulink与AMSIM联合仿真的方法虽然随着新材料、电机技术、控制学和先进制造技术等的发展,出现了用以取代目前所依赖的功率液压传动的功率电传技术,但是在现阶段,液压伺服作动系统仍然占据航空作动系统的主导地位。
主要原因是液压伺服作动系统具有其它伺服作动系统无法比拟的优势,具有容易得到大功率输出、高功率/重量比、响应快和低俗特性好的特点。
航空液压作动系统是目前飞机上最成熟的液压作动方式,大多直接采用飞机的中央液压源提供的恒压油,通过(伺服)阀来控制执行机构的双腔流量完成指令动作,精度高、响应快。
航空也要作动系统根据其发展历程一般分为以下四类:液压助力器、电液指令作动器、复合式伺服作动器和直接驱动阀式伺服作动器。
本文将主要对其关键技术进行深入分析,并提出关键技术的解决途径。
1 建模仿真技术由于对航空液压作动系统自身结构比较复杂,对其自身的性能要求比较高,需要满足包括输出载荷、中立位置、额定行程、最大行程、行程余量、额定速度、最大速度、极限载荷、主控阀剪切力、门限、位置精度和滞环等的静态特性,满足包括频率响应和阶跃响应的动态特性,以及稳定性和阻抗特性要求。
银次,在研制航空液压作动系统的过程中,对其进行建模仿真非常重要。
通过仿真,可以对所设计的作动器性能有全面的了解,便于改进和完善设计。
传统的建模仿真分析手短一般采用数学推到加Simulink仿真的方式进行。
Simulink是美国Match Works公司开发的MA TLAB软件的可视化仿真环境,具有丰富的线性/非线性、连续/离散等控制系统仿真功能模块,具备神经网络、模糊控制等一系列先进的智能控制工具箱,非常适合进行航空液压作动系统的建模与分析,但其前提是基于用户建立的数学模型和其自身提供的结构参数化的功能模块。
而这已无法满足现在对仿真高精度和高准确度的要求。
而且Simulink本身没有专门针对液压流体仿真的工具箱,用户使用时要自己建立模型。
AMESim是法国Imagine公司推出的基于功率键合图的液压/机械系统建模、仿真机动力学分析软件,采用图形化的物理建模方式,具有复杂液压元件结构参数化的功能模块,也同样非常适合进行航空液压作动系统的结构参数化建模与分析,但是他的控制系统仿真功能模块相对较少,不具备神经网络、模糊控制等一系列先进的智能控制工具箱。
Modelsim与Simulink协同仿真当使⽤硬件描述语⾔(HDL)完成电路设计时,往往需要编写Testbench对所设计的电路进⾏仿真验证,测试设计电路的功能是否与预期的⽬标相符。
⽽编写Testbench难度之⼤,这时可以借助交互式图形化环境Simulink来产⽣模拟激励,并且可以观察测试模块的输出响应。
⾸先,⽤Verilog描述⼀个反相器,代码如下:module inverter(clk,sin,sout);input clk ;input [7:0] sin ;output [7:0] sout ;reg [7:0] sout;always@(posedge clk)sout <= ~ sin;endmodule在modelsim分别输⼊命令vlib work;vmap work work;vlog interver.v 编译成功后,启动Simulink建⽴模型,模型如下。
注意Matlab和Modelsim的⼯作路径要保持⼀致。
模块HDL Cosimulation位于HDL Verifier库中For use with Mentor Graphics Modelsim⼦库中。
双击HDL Cosimulation进⾏相关参数设置,并对Simulink模块进⾏仿真参数设置。
点击Simulation--Start开始仿真。
Modelsim多次仿真结果如下另外,Simulink还提供了⽐较直观的cosimWizard命令来配置协同仿真。
具体使⽤说明参见HDL Cosimulation Wizard Tutorials(doc cosimWizard)参考资料及⼯程⽂件见: 提取码8zyf。
软件准备:MATLAB2016bAMEsim2020.2Visual studio 2013软件不宜过新。
上面是恒仔使用的、联合成功的版本。
步骤:一:复制文件将D:\Program Files (x86)\Microsoft Visual Studio 12.0\VC\bin下的nmake.exe 、vcvars32.bat和D:\Program Files (x86)\Microsoft Visual Studio 12.0\VC\bin\amd64下的vcvars64.bat复制到D:\Program Files\Simcenter\2020.2\Amesim中覆盖。
(全文所提到路径皆为自己软件的安装路径,可根据自己安装情况更改)二:环境配置在安装完Visual Studio 2013、Amesim 2020.2 、Matlab 2016b之后,需要配置环境变量。
在windows桌面,右键“计算机”-“属性”-“高级系统设置”-“环境变量”里添加用户变量和系统变量。
1、用户变量添加变量名:HOME,变量值:D:\添加变量名:MATLAB,变量值:D:\Program Files\MATLAB\R2016b2、双击Path变量,添加:配置完成后,重启电脑,以使用户变量和系统变量生效。
三、软件设置:1、首先打开Matlab 2016b,在命令窗口输入:mex -setup将C和C++编译器都设置为Visual C++ 2013.2、打开Amesim2020.2 ,进入Tools-Preferences-Compilation,将Active Compiler设置为Microsoft Visual C++ (64bit)。
软件配置完成。
四、联合仿真例程运行在Amesim 2020.2-Help-Help里,输入simulink,打开“Hybrid_bus_steps_simulink”例程:点击Open this demo,下面一串链接,将其复制到指定目录并打开:点击Amesim 2020.2-Tools-MATLAB®,它会自动打开MATLAB2017b,并自动添加AMESIM的相关路径到MATLAB PATH里,然后它会自动打开Hybrid_bus_steps_simulink.mdl。