EDA实验指导书全(Verilog版)
- 格式:doc
- 大小:2.17 MB
- 文档页数:38
EDA技术实验指导书陆利坤编北京印刷学院2004.5前言主要术语摘要:*EDA(电子设计自动化):Electronic Design Automation*PLD(可编程逻辑器件):Programmable Logical Device*CPLD(复杂可编程逻辑器件):Complex Programmable Logical Device*FPGA(现场可编程门阵列):Field Programmable Gates Array*ISP(在系统可编程): In System Programmble*ASIC(专用集成电路): Application Specific Integrated Circiuts*VHDL(硬件描述语言) : Very High Speed IC Hardware Description Language 20世纪末,电子技术发展迅速,在近代通讯控制、电子测量等众多领域,都广泛地应用电子电路技术。
电子设计自动化EDA技术的发展,要求广大专业人才能够较快的掌握该技术的应用。
为了帮助广大同学更好的学习应用EDA技术我们编写了本实验指导书。
本着快速掌握、即学即用和实用易学的目的,本书采用了理论从略、应用从详的原则,指导书的主要结构特点分为以下三个部分:1.模拟验证性实验。
以完成一个实际应用实例为例,引导同学完成并掌握整个设计过程。
2.基本训练性实验。
本着由简单到复杂、由单一到综合的目的,巩固和加强学生对基本理论的掌握,训练提高学生的基本设计能力。
3.增加设计性实验。
提出实验目的要求和实验内容及约束条件,设计方案、功能选择由学生自行拟定,以培养学生独立组织实验和创新设计的能力。
本指导书体系与内容由陆利坤老师编写,刘小清老师对实验体系与内容做了审阅,并提出了宝贵意见。
在此特对本实验改革付出辛勤劳动的各位老师和同学表示感谢。
限于笔者的水平和时间,有错之处请批评指正。
编者2004.5 于北京印刷学院目录实验一实验系统的构成,软件环境的操作(模拟验证实验)实验二 3/8译码器,BCD/七段显示译码器实验实验三触发器设计实验实验四按键去抖设计实验实验五计数器实验实验六分频器设计实验实验七状态机设计实验实验八数字钟设计实验实验九编码矩阵键盘接口设计实验实验十可设置数字钟设计实验实验十一可控制点阵LED显示器的设计实验实验十二设计性实验实验一实验系统的构成,软件环境的操作一.实验目的1.学习MAX+PLUSII 软件的基本操作;2.学习使用原理图、文本文件进行设计输入;3.初步掌握器件设计、编译、仿真和编程的过程;4.学习掌握教学实验板的使用方法;二.实验说明采用Verilog-HDL和原理图输入方式设计一个简单的三人表决器,并下载到PLD实验箱进行实际运行。
实验一Quartus II开发环境入门一、实验目的1、了解QuartusII软件及基本操作2、熟悉图形编辑器Block Builder/Schematic File的设计输入3、掌握电路的编译和适配4、掌握电路仿真与时序分析5、熟悉3/8线译码器工作原理和五人表决器设计二、实验原理1、以3/8线译码器为例,总体思路以EP1C3中的三个拨位开关,SW3,SW2,SW1为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在七个彩灯上显示。
2、三、实验步骤主要仪器和设备:计算机,EDA实验箱。
步骤一:1、建立工程,设计输入。
选择菜单“File”→“New Preject Wizard”将设计文件加入工程中:点击下方的“Next” 按钮,在弹出的对话框中点击“File”栏的按钮,将此工程相关的所有VHDL文件加入进此工程(如果有的话)。
Cyclone系列的EP1C3T144C8按下“Next”后,出现仿真工具选择对话框。
不作任何选择。
4、完成设置点击“Next”后,完成工程的设定,点击“finish”。
步骤二:1、选择File/New或点击主菜单中的空白图标,进入新建程序文件状态,选择VHDL file 。
VHDL程序文件的扩展名是:* .vhd程序代码:-- A simple 3 to 8 decoderlibrary ieee;use ieee.std_logic_1164.all;entity decoder isport ( inp: in std_logic_vector(2 downto 0);outp: out std_logic_vector(7 downto 0)); end decoder;architecture behave of decoder isbeginoutp(0) <= '1' when inp = "000" else '0';outp(1) <= '1' when inp = "001" else '0';outp(2) <= '1' when inp = "010" else '0';outp(3) <= '1' when inp = "011" else '0';outp(4) <= '1' when inp = "100" else '0';outp(5) <= '1' when inp = "101" else '0';outp(6) <= '1' when inp = "110" else '0';outp(7) <= '1' when inp = "111" else '0';end behave;步骤三:1、选择菜单“File”→“New ” →“ Schematic File”,即弹出原理图编辑框。
EDA技术基础实验指导书海南大学信息学院编目录实验一MAX –plusII及开发系统使用 1 实验二高速四位乘法器设计7 实验三秒表的设计9 综合性设计性实验实验四序列检测器的设计12 实验五数字频率计的设计14 数字密码锁17 交通灯控制器182EDA实验指导书实验一MAX –plusII及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。
三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。
它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。
因为本实验是在计算机平台上进行,因此实验方式,实验手段和实验仪器与传统的实验有很大的区别,主要体现在以下几个方面:1、实验器材集中化,所有实验基本上在一套实验设备上进行。
传统的实验每作完一个实验,实验器材基本上都要变动(个别除外)。
而做本实验时,只要在计算机上把不同的程序输进去,其它步骤所有实验都一致;2、实验耗材极小(基本上没有耗材);3、在计算机上进行,自动化程度高,人机交互性好,修改、验证实验简单;4、下载后,实验结果清晰;5、实验仪器损耗少,维护简单;下面,我们就本套实验设备做一个简单的介绍。
3(一)Max+plusⅡ10.0的使用。
1、Max+PlusII软件的安装步骤:第一步:系统要求奔3CPU以上,128M内存以上,4G 以上硬盘,98 操作系统(98或Me操作系统才可以下载,其他操作系统下载必须安装驱动,否则只能仿真,如果大家只进行仿真的话,对系统没要求)第二步:安装点击安装可执行文件进行安装,安装完毕后会弹出一对话框,点击是或否都可以。
第三步:将安装文件夹中的License 文件夹打开,里面有一个License.bat 注册文件,将此文件复制到你的安装目录下(你的安装目录可放在任一个驱动器下,然后建立一个Max10的文件夹,将系统安装在此文件夹中,安装后此文件夹中会有三个文件夹)的任一个文件夹中,要清楚位置。
实验一QUARTUS Ⅱ的设计流程[输入方式:文本输入、图形输入、波形输入等]一、实验目的:一、掌握QUARTUSⅡ安装进程;二、熟悉QUARTUSⅡ设计环境;3、掌握QUARTUSⅡ的设计进程。
二、实验内容:用文本输入法设计一个半加器。
三、实验步骤:一、安装QUARTUS II。
注:第一次安装QUARTUS要安装license。
二、QUARTUSⅡ设计开发步骤(一)、创建工作文件夹在windows中成立一个文件夹(又称工作库或WORK LIBRARY),用于保留设计工程项目的有关文件。
注:设计工程项目的有关文件不能保留在根目录下,必需保留在一个文件夹之下。
例如成立的文件夹:E:\HADD(二)、启动Quartus II点击QUARTUSⅡ图标打开QUARTUSⅡ设计窗口。
(三)、设计文件输入1、打开输入文件编辑器点击菜单File\new…新成立一个文本设计文件。
用文本输入法输入程序。
程序见附录。
2、保留文件,文件名名同实体名。
后缀.v(四)、全编译(逻辑综合)1、创建工程点击菜单File\New Project Wizard…….进行工程设置。
完成工作文件夹的选定、工程名、顶层设计文件名、编程器件的肯定等工程。
2、编译前的相关设置设置⑴选择PLD芯片:Assignmenmts\Settings\Device弹出的窗口当选择选择芯片。
⑵选择配置芯片的工作方式:Assignmenmts\Settings\Device\Device&Pin Options 弹出的窗口中首选General项,在Options栏当选择Auto-restart-configuration after error.⑶选择配置芯片和编程方式:Assignmenmts\Settings\Device\Device&Pin Options 弹出的窗口当选择Configuration栏,在窗口中设置配置方式,配置芯片和是不是生成紧缩的配置文件。
“电子设计自动化(EDA)”实验指导书(六)一、实验课程编码:105009二、实验课程名称:电子设计自动化(EDA)三、实验项目名称:综合硬件实验二(带时分秒按键调整和静态数码管显示的数字钟)四、实验目的1)学会看硬件原理图,2)掌握FPGA硬件开发的基本技能3)培养EDA综合分析、综合设计的能力五、主要设备1)PC机,2)硬件实验箱,3)Max plusII软件开发平台。
六、实验内容1.6个数码管静态显示驱动2.按键模式选择(时\分\秒)与调整控制3.用硬件描述语言(或混合原理图)设计时、分、秒计数器模块、按键控制状态机模块、显示译码模块、顶层模块。
要求使用实验箱右下角的6个静态数码管(DS8C, DS7C, DS4B, DS3B, DS2B, DS1B)显示时、分、秒;要求模式按键和调整按键信号都取自经过防抖处理后的按键跳线插孔。
七、实验步骤1.打开Max plusII ,连接实验箱上的相关硬件资源,如下图1所示。
2.建立新文件,选择文本类型或原理图类型。
3.编写程序。
4.编译5.仿真,加载程序到芯片,观察硬件输出结果(数码管显示)6.结果正确则完成。
若结果不正确,则修改程序,再编译,直到正确。
图1 综合硬件实验二对应的硬件资源连接八、实验结果直接观察实验箱的数码管显示、操作按键进行控制和调整。
数字钟包括正常的时分秒计时,DS2B DS1B.125 H z.25 H z.5 H zH zH zH zH z4 H z56 H z024 H z096 H z6384 H z2768 H z5536 H z50 K H z.5 M H zM H zM H z2 M H z4 M H zHour 00-23 Minute 00-59Second 00-59实验箱右下角的6个静态数码管(DS8C, DS7C, DS4B, DS3B, DS2B, DS1B)显示时、分、秒。
adjust 递增调整时分秒,mode按键循环调整模式。
实验指导书实验一:Quartus II软件操作1.实验目的(1)掌握组合逻辑电路的设计方法。
(2)掌握Quartus II 软件的使用方法,以及原理图输入方法。
(3)掌握半加器和全加器的电路设计。
2.Quartus II 的原理图输入法本节通过几个简单的例子向大家介绍FPGA开发软件Quartus II的原理图输入法的设计步骤。
Quartus II 的原理图编辑输入法设计电路时,首先要建立设计项目。
第1步:打开Quartus II。
第2步:新建一个空项目。
执行File->New Project Wizard命令,进入新建项目向导。
如图1-1所示,填入项目的名称,默认项目保存路径在Quartus安装下,建议修改为其他地址,新建一个文件夹作为当前项目存放地址。
图1-1 新建项目向导第3步:执行Next,进入向导的下一页进行项目内文件的添加操作,如果没有文件需要添加进项目,则直接按Next按钮既可。
第4步:指定CPLD/FPGA器件,如图1-2所示,选择芯片系列为“CycloneII”,型号为“EP2C35F672C6N”。
选择型号时,可直接在列表框中查找,也可通过指定封装方式(Package)为“FBGA”、引脚数(Pin count)为“672”以及速度等级(Speed grade)为“6”这3个参数值来进行筛选。
图1-2 器件选择第5步:向导的后面几步不做更改,直接按Next即可,最后按Finish结束向导。
到此即完成了一个项目的新建工作。
第6步:新建一个图形文件。
由于之前建立的项目还是一个空项目,所以接着需要为项目新建文件。
执行File->New命令,在“Device Design Files”选项页中选择“Block Diagram/Schematic File”,然后点击OK按钮。
将该图形文件另存为hadder.bdf。
图形编辑窗口如图1-3所示,窗口左边是图形编辑工具条。
- 3 -图1-3 图形编辑窗口第7步:在图形编辑窗口的空白处双击,打开符号库窗口,如图1-4所示。
SOPC综合实验系统实验指导书济南大学控制学院责任编辑:济南大学信息学院电子信息中心目录目录 (1)第三章VHDL基本程序设计实验 (22)实验一组合逻辑半加器的设计 (22)实验二使用VHDL设计组合逻辑全加器 (29)实验三带进位输入的8位加法器 (34)实验四全减器 (39)实验五四位向量加法/减法器 (42)实验六组合逻辑3-8译码器的设计 (46)实验七数据比较器 (50)实验八多路数据选择器 (53)实验九编码器 (57)实验十计数器 (62)实验十一7段数码管控制接口 (65)实验十二16*16点阵设计 (69)实验十三MOORE机 (76)实验十四MEALY机 (80)实验十五D/A接口 (84)实验十六A/D接口 (87)实验十七动态数码管显示实验 (91)第四章SOPC系统基本实验 (95)实验一Hello-Led流水灯实验 (95)实验二数码管显示实验 (103)实验三按键输入中断实验 (107)实验四4乘4键盘实验 (111)第三章VHDL基本程序设计实验实验一组合逻辑半加器的设计一、实验目的2、通过一个简单的一位半加器的设计,让学生掌握组合逻辑电路的设计方法。
3、掌握组合逻辑电路的静态测试方法。
4、初步了解可编程逻辑器件设计的全过程。
5、熟识quartus II软件基本功能的使用。
二、实验原理半加器实现两位不带进位加法输出和及进位。
一位半加器有两个输入a、b;两个输出s,Co。
加数a 和s三、实验步骤1、创建工程运行Quartus II软件,如下图所示:建立工程,File New Project Wizad,既弹出“工程设置”对话框,如图所示单击此对话框最上一栏右侧的“...”按钮选择工作目录,在D盘中建一个工程文件夹,取名为test。
单击“打开”按钮,在第二行和第三行中写工程名为“half_adder”。
按Next按钮,出现添加工程文件的对话框,如下图所示:这里无需添加文件,我们跳过此步,直接按next进行下一步,如下图所示,选择FPGA/CPLD 器件的型号,本试验箱选用Altera公司的Cyclone III 系列FPGA 芯片EP3C16Q240C8。
可编程逻辑设计实验指导书2010.9实验一利用原理图输入法设计简单组合电路一、实验目的:熟悉QuartusII软件界面, 学习简单组合电路的多层次化电路设计方法,掌握文本输入和原理图输入设计方法。
掌握时序仿真测试及测试结果分析的方法。
二、实验原理:三选一数据选择器可以由两个二选一数据选择器构成,原理图如图1 所示。
图1 三选一数据选择器上图中,二选一数据选择器MUX21A的功能如下:当s=0时,y=a;当s=1时,y=b 。
两个MUX21A 如上图连接后,实现三选一功能s1s0=00,outy=a1;s1s0=01,outy=a1;s1s0=10,outy=a2;s1s0=11,outy=a3。
三、实验内容:1.利用QuartusⅡ完成2选1多路选择器MUX21A的文本编辑输入,然后编译、仿真,检查程序设计正确无误后,生成一个元件待用。
给出文本设计文件和仿真波形图。
entity mux21a isport (a,b:in bit;s:in bit;y:out bit);end entity mux21a;architecture one of mux21a isbeginy<=a when s='0' else b;end architecture one;INCLUDEPICTURE "C:\\Documents and Settings\\Administrator\\Application Data\\Tencent\\Users\\970546411\\QQ\\WinTemp\\RichOle\\97Y2MLW9QPY[F5VI2 9$OZ{2.jpg" \* MERGEFORMATINET2.利用原理图输入法,按照图1进行连线,完成三选一电路的设计。
然后编译、仿真测试,结果正确后锁定管脚,下载到FPGA芯片中,进行硬件测试。
给出原理图设计文件和仿真设计图。
实验1:Q u a r t u s I I基本设计流程体验实验实验步骤:1.启动QuartusII。
2.创建工程。
(1)选择File->NewProjectWizard命令(2)输入工程库文件夹(注意:不要使用Quartus安装目录,不要使用路径中包含中文的目录,例如桌面等)。
输入工程名:MUX41a(3)跳过“添加文件”步骤。
(4)选择目标芯片(EP3C55F484C8)(5)跳过“工具设置”步骤,点击“Finish”。
3.输入设计文件。
(1)选择“File->New”命令,选择VerilogHDLFile选项。
(2)在文本编辑器中输入4选一选择器的代码(使用Case语句)。
(3)选择File->SaveAs命令保存文件。
3.进行全程编译。
编译过程中注意Processing窗口的编译信息。
4.查看编译报告。
扩展实验与思考:1.将代码中Case语句修改为if-else语句,比较两者的编译结果(硬件资源使用情况)。
2.将if-else语句改为不完整条件语句,再次编译后查看编译结果(1.查看综合报告中的警告信息;2.利用Tools>NetlistViewers>RTLViewer查看结构图)。
实验2:ModelSim仿真实验1实验步骤:(1)启动Modelsim;(2)选择File->New->Project建立新工程,工程目录指定为实验1所用目录,加入验证对象文件MUX41a.v;(3)在Project窗口中选中设计文件,单击鼠标右键,选择Compile->CompileAll 编译源代码;如有编译错误,修改源代码;(4)选择Simulate->StartSimulation或点击Simulate按键,选Library窗口中work->MUX41a,点击OK。
(5)在Object窗口中选择需要观察的信号,单击鼠标右键,选择Add->ToWave->SelectedSingals,添加待观察信号至波形窗口;(6)在Transcript窗口中使用force输入激励信号;例如:forceA0(7)在Transcript窗口输入run命令或点击run按键执行仿真,查看结果. (8)改变激励信号,执行仿真并查看结果。
EDA 实验指导书目录二零一二年九月 (2)实验一QUARTUSII软件使用 (2)实验二基本输出实验 (19)实验三、基本输入/输出实验 (23)实验四、静态lED 数码管显示 (26)实验五、动态LED 数码管显示 (29)实验六、蜂鸣器声响实验 (32)实验七、数字时钟实验 (34)实验八、频率计实验 (38)二零一二年九月实验一QUARTUSII软件使用一、实验目的1、了解QUARTUSII软件安装与配置的基本方法。
2、熟悉QUARTUSII集成开发环境。
二、实验内容1、安装并配置QUARTUSII。
2、通过创建一个简单的应用工程,初步熟悉QUARTUSII的使用,了解程序开发调试过程。
三、实验设备与仪器1、计算机四、实验步骤一、安装前的准备1.1、Windows XP操作系统(最好不要用Ghost精简版)关掉杀毒软件和防护软件(例如瑞星、360安全卫士),防止安装过程中出现不必要的麻烦。
1.2、QuartusII 7.2安装文件QuartusII和Altera公司配套的IP库。
1.3、QuartusII 7.2破解文件主要有3个文件:license.DA T、Quartus_II_7.2_b151破解器.exe、读我.txt。
二、Tips最好不要把Quartus软件安装到C盘。
三、安装过程3.1、光碟的内容3.2、安装过程选择安装的程序选择了QuartusII和IP库,用户自定义安装(稍后可以选择安装路径)。
同意协议填写用户名和公司名不同电脑默认的用户名和公司名都不同,可以随意填写。
最好不要安装到C盘,这里选择安装到D盘。
开始菜单中本程序的目录名(默认即可)安装所有功能总览安装配置正在安装全功能安装正在安装IP库IP库安装完成安装完IP库后,配置TalkBack(默认即可,不知道有什么用)完成所有安装过程四、破解QuartusII 7.24.1、破解之前如果没有破解,打开程序会看到如下提示。
《EDA技术及VHDL》实验指导书徐学红编写河南牧业经济学院应用电子系2013年9月目录第一部分 KHF-5型 CPLD/FPGA实验开发系统 (1)第二部分 THRCPLD软件使用说明 (15)第三部分数字系统实验 (17)实验一全加器的设计与仿真 (17)实验二 3-8译码器设计 (20)实验三七段数码显示译码器设计 (21)实验四分频电路与4位二进制计数器设计 (22)实验五 12归1电路设计 (24)实验六串行扫描显示电路设计 (27)实验七数字钟设计与扫描显示 (30)实验八乐曲演奏电路设计 (32)实验九彩灯控制器的设计 (36)实验十状态机设计技术 (38)第一部分 KHF-5型 CPLD/FPGA实验开发系统一、系统概述实验箱由主板和下载板组成,能够满足工科院校开设CPLD/FPGA 课程的实验需要,同时也可用作CPLD/FPGA应用系统。
编辑方式有图形编辑,文本编辑,波形编辑,混合编辑等方式,硬件描述语言有AHDL、VHDL、Verilog HDL等语言。
配有模拟可编程器件ispPAC器件系列,突破传统的EDA实验箱一般只做数字电路实验的模式,用户可以在实验箱上通过模拟可编程器件进行模拟电路的开发训练。
实验箱配有10个数码管(包括6个并行扫描数码管和4个串行扫描数码管),16个数据开关,4个脉冲开关,数据开关和脉冲开关可配合使用,也可单独使用。
A/D转换,采用双A/D转换,有常规的8位A/D转换器ADC0809,还可以配置位数较高,速度较快的12位A/D转换器MAX196。
D/A转换器,采用高速DA芯片0800。
通用小键盘,本实验箱提供16个微动开关(4X4),可方便的进行人机交互。
具有单片机扩展槽,由于实验箱上的所有资源(如数码管、数据开关、小键盘等)都可以借用,因此通过此扩展槽可以开发单片机及单片机接口实验。
外围扩展口,为了便于开发,本实验箱还预留一个40PIN的扩展槽,用以与外围电路的联接。
EDA实验指导书熊利祥编武汉理工大学华夏学院2011年9月前言一、实验课目的EDA实验课是电子工程类专业教学中重要的实践环节,包括了ISE开发环境基本操作及Verilog语言、组合逻辑电路设计、流水灯设计、计数器设计、扫描显示电路的驱动、综合层次性实验——交通灯或数字秒表设计实验。
要求学生通过实验学会正确使用EDA技术,掌握FPGA器件的开发,熟练使用ISE开发环境,掌握Verilog 语言的编程,掌握数字电路和系统的设计。
通过实验,使学生加深对课堂专业教学内容的理解,培养学生理论联系实际的能力,实事求是,严谨的科学作风,使学生通过实验结果,利用所学的理论去分析研究EDA技术。
培养学生使用EDA实验设备的能力以及运用实验方法解决实际问题的能力。
二、实验要求:1.课前预习①认真阅读实验指导书,了解实验内容;②认真阅读有关实验的理论知识;③读懂程序代码。
2.实验过程①按时到达实验室;②认真听取老师对实验内容及实验要求的讲解;③认真进行实验的每一步,观察程序代码与仿真结果是否相符;④将实验过程中程序代码和仿真结果提交给老师审查;⑤做完实验后,整理实验设备,关闭实验开发板电源、电脑电源后方可离开。
3.实验报告①按要求认真填写实验报告书;②认真分析实验结果;③按时将实验报告交给老师批阅。
三、实验学生守则1.保持室内整洁,不准随地吐痰、不准乱丢杂物、不准大声喧哗、不准吸烟、不准吃东西;2.爱护公务,不得在实验桌及墙壁上书写刻画,不得擅自删除电脑里面的文件;3.安全用电,严禁触及任何带电体的裸露部分,严禁带电接线和拆线;4.任何规章或不按老师要求操作造成仪器设备损坏须论价赔偿。
目录实验一 ISE开发环境入门_五人表决器 (4)实验二加法器、乘法器、比较器的设计 (26)实验三流水灯 (28)实验四计数器 (30)实验五综合层次性实验——交通灯设计 (32)实验六综合层次性实验——数字秒表设计 (34)附录一 basys 2开发板资料 (36)实验一ISE开发环境入门一、实验目的1.了解ISE开发环境及基本操作。
2.熟悉设计方法和步骤。
3.掌握电路的综合和实现。
4.掌握电路仿真与时序分析。
5.熟悉3/8线译码器工作原理和五人表决器设计。
二、实验内容和基本原理1.以3/8线译码器为例,总体思路以Basys 2开发板中的三个拨位开关,SW2,SW1,SW0为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在八个发光二级管(LD7~LD0)上显示。
2.输入与输出之间逻辑关系3.以Basys 2开发板中的五个拨位开关,SW4,SW3,SW2,SW1,SW0为五个输入信号,可以代表五个表决的人,当五个人中有三个以上同意时,则表决通过,并将表决的结果在LD0上显示出来。
4. 其真值表:输入输出A B C D E F0 0 1 1 1 10 1 0 1 1 10 1 1 0 1 10 1 1 1 0 11 0 0 1 1 11 0 1 0 1 11 0 1 1 0 11 1 0 0 1 11 1 0 1 0 11 1 1 0 0 10 1 1 1 1 11 0 1 1 1 11 1 0 1 1 11 1 1 0 1 11 1 1 1 0 11 1 1 1 1 1others 0 5.输入与输出之间逻辑关系f=abc+abd+abe+acd+ace+ade+bcd+bce+bde+cde;三、主要仪器和设备主要仪器和设备:计算机,Basys 2开发板。
图1 Basys 2开发板四、ISE开发环境1、建立工程。
①选择菜单“File”→“New Preject”。
点击New②进入新建工程向导对话框输入工程名字:experiment1工程所在目录顶层源文件类型点击“Next”按纽②点击“Next”后,进入工程设置对话框。
对如下选项进行设置:Family:Spartan3EDevice:XC3S100EPackage:CP132TOP-Level Source:HDLSynthesis Tool:XST(VHDL/Verilog)Simulator:ISim(VHDL/Verilog)Preferred Language:Verilog③点击“Next”后,进入工程摘要对话框。
产品范围(product category)芯片的系列(Family)具体的芯片型号(Device)封装类型(Package)速度信息(speed)综合工具(Synthesis Tool)仿真工具(Simulator)喜欢的语言(VHDL/Verilog)点击“Next”按钮点击“Finish”按钮④点击“Finish”后,完成工程的创建。
工程名器件名字生成了空的工程框2、建立Verilog源文件。
①选中器件名字,点击鼠标右键,弹出一个快捷菜单。
②选中“New Source ”,进入新建源文件向导对话框,输入文件名。
选中器件名字,点击鼠标右键选中New Source0 IP 生成向导0 0 0 0 0 0 0 0 0原理图文件 用户文档文件 Verilog 模块模板文件 Verilog 测试平台模板文件 VHDL 模块模板文件 VHDL 库模板文件 VHDL 包模板文件 VHDL 测试平台模板文件 片上系统设计向导③点击“Next ”后,进入定义模块对话框,进行端口指定。
选择VHDL Module输入”experiment ”作为VHDL 模块的名字点击“Next”按钮指定端口名指定端口方向指定位宽点击“Next”④点击“Next”后,进入概要对话框。
点击“Finish”⑤点击“Finish”后,回到ISE主界面。
生成的experiment1.v文件添加代码到experiment1.v文件中⑥输入源程序代码:module experiment1(output [7:0] ld,input [2:0] sw);assign ld[0]=~sw[2]&~sw[1]&~sw[0];assign ld[1]=~sw[2]&~sw[1]& sw[0];assign ld[2]=~sw[2]& sw[1]&~sw[0];assign ld[3]=~sw[2]& sw[1]& sw[0];assign ld[4]= sw[2]&~sw[1]&~sw[0];assign ld[5]= sw[2]&~sw[1]& sw[0];assign ld[6]= sw[2]& sw[1]&~sw[0];assign ld[7]= sw[2]& sw[1]& sw[0];endmodule3、综合。
选中experiment1.v文件双击进行综合4、仿真。
①先选中Simulation,然后选中器件名字,点击鼠标右键,弹出一个快捷菜单。
②点击“New Source”后,进入选择源文件类型对话框。
③选择关联文件④概要⑤进入仿真测试文件编辑窗口⑥输入测试程序代码module test;reg [2:0] sw;wire [7:0] ld;experiment1 uut (.ld(ld),.sw(sw));initial beginsw = 8'b000;#100 sw = 3'b001;#100 sw = 8'b010;#100 sw = 8'b011;#100 sw = 8'b100;#100 sw = 8'b101;#100 sw = 8'b110;#100 sw = 8'b111;endendmodule⑦右键单击“Simulate Behavioral Model”弹出快捷菜单,点击“run”命令。
⑧进入ISim仿真器界面,选中Simulation菜单中的“Run”命令。
⑨显示仿真波形时序图。
5、编写约束文件。
①选中器件名字,点击鼠标右键,弹出一个快捷菜单。
选中器件名字,点击鼠标右键选中New Source②选中“New Source”,进入新建源文件向导对话框,输入约束文件名。
选中实现约束文件输入约束文件名点击“Next”按钮③点击“Next”后,进入概要对话框。
点击“Finish”按钮④点击“Finish”后,回到ISE主界面,编辑约束文件。
⑤输入约束文件代码NET "sw<2>" LOC="K3";NET "sw<1>" LOC="L3";NET "sw<0>" LOC="P11";NET "ld<7>" LOC="G1";NET "ld<6>" LOC="P4";NET "ld<5>" LOC="N4";NET "ld<4>" LOC="N5";NET "ld<3>" LOC="P6";NET "ld<2>" LOC="P7";NET "ld<1>" LOC="M11";NET "ld<0>" LOC="M5";6、实现7、生成编程文件8、下载双击进行实现选中experiment1.v文件双击生成编程文件选中experiment1.v文件①将Basys 2开发板右上角的Mode左边两个引脚(PC)用跳帽短接(JTAG模式)。
②将Basys 2开发板左下角的Power开关打开。
③打开Digilent Adept下载软件,浏览选中编程文件,并进行下载。
浏览选中下载文件编程下载9、功能测试五、实验步骤1、新建一个工程,命名为vote5.xise。
2、新建一个Verilog源文件,命名为vote5.v,编写源文件。
3、综合4、新建一个测试文件,命名为test.v,编写测试文件进行波形仿真。
5、新建一个约束文件,命名为vote.ucf。
6、实现7、生成编程文件8、下载9、功能测试六、实验报告要求1.写出设计思想及框图2.简述设计步骤和调试过程。
包括总体电路设计、相应模块设计,以及在ISE上完成的设计和仿真。
相关文档包括:Verilog HDL语言的源代码(程序要有详细的注释和功能说明)、引脚分配的约束文件、验证设计功能等设计的所有文档与调试的结果。