表决器
- 格式:doc
- 大小:1.15 MB
- 文档页数:11
三人表决器报告1. 摘要本文档是对三人表决器的详细报告,包括设计原理、功能特点以及使用场景等方面的介绍。
三人表决器是一种基于多人决策的工具,通过对多个人的表决结果进行统计和分析,以实现决策的公平和有效。
2. 引言在现实生活中,决策常常需要多个人共同参与。
然而,在多人参与的决策过程中,可能会出现意见不统一、讨论难以达成共识的情况。
三人表决器的出现能够帮助解决这类问题。
三人表决器是一种简单而又有效的工具,可以帮助多个人快速完成决策过程,并保证最终结果的公平和合理性。
3. 设计原理三人表决器的设计基于以下原理:3.1. 多数决原则三人表决器采用的是多数决原则。
也就是说,在决策过程中,只有获得多数人的支持才能最终采取行动。
这样做的好处是能够避免因为个别人的意见而导致决策失去公正性和有效性。
3.2. 匿名投票为了保证每个人能够真实地表达自己的意见,三人表决器采用匿名投票的方式,即投票参与者的身份不会被泄露。
这种设计可以有效地防止潜在的压力或影响对投票结果的干扰。
4. 功能特点三人表决器具有以下功能特点:4.1. 快速决策三人表决器的设计目标之一是能够快速进行决策。
参与者只需在规定的时间内投票,即可得出最终结果,避免无限的讨论和拖延。
4.2. 可视化结果三人表决器可以通过可视化方式展示投票结果。
这样可以让参与者直观地了解整体的投票情况,有助于各方更好地理解和接受最终结果。
4.3. 定制化选项三人表决器支持定制化选项,即参与者可以根据需要设定不同的选项。
这样能够更好地适应不同场景下的决策需求。
5. 使用场景三人表决器适用于以下场景:5.1. 团队决策在团队中,常常需要进行一些重要的决策,如项目方向、资源分配等。
使用三人表决器可以帮助团队成员快速达成共识,减少决策时间。
5.2. 会议投票在会议期间,可能会出现一些需要快速决策的问题,如提案通过与否、预算审批等。
通过使用三人表决器,可以高效地完成这些决策过程。
5.3. 民主决策在一些组织或社区中,民主决策是一种重要的决策方式。
三人表决器思政目标引言:三人表决器作为一种常见的决策工具,在政治思想教育中也有着重要的应用。
本文将从三人表决器的定义、作用和优势等方面,探讨其在思政目标中的应用。
一、三人表决器的定义和原理三人表决器是一种决策工具,由三个人组成。
每个人可以选择“赞成”、“反对”或“弃权”三个选项中的一个,最终根据多数人的选择进行决策。
二、三人表决器在思政目标中的作用1. 培养民主意识:通过三人表决器的使用,可以让学生体验到民主决策的过程和重要性,培养其民主意识和参与能力。
2. 培养团队合作精神:在三人表决器中,每个人的意见都会得到平等对待,需要进行充分的讨论和协商,培养学生的团队合作精神和协调能力。
3. 培养辩证思维能力:在三人表决器中,每个人的意见都有可能得到采纳或被否定,需要学生学会辩证思考,接受他人观点并进行合理的辩解。
4. 培养公平公正价值观:三人表决器要求多数人的意见决定最终结果,这就要求学生在投票时要遵循公平公正的原则,不偏袒自己或他人。
5. 培养学生的责任意识:在三人表决器中,每个人的选择都会对最终结果产生影响,学生需要对自己的选择负责,培养责任意识和决策能力。
三、三人表决器在思政目标中的优势1. 提高决策效率:三人表决器能够快速形成决策结果,避免了决策过程中的拖延和犹豫,提高决策效率。
2. 增加决策的科学性:三人表决器能够通过多数人的选择决定最终结果,避免了个别人的主观偏见对决策结果的影响,增加了决策的科学性。
3. 增强决策的公正性:三人表决器要求多数人的意见决定最终结果,避免了个别人的过度影响,增强了决策的公正性。
4. 促进学生的参与和主动性:三人表决器能够激发学生的参与和主动性,让学生更加积极地参与到决策过程中,增强学生的思政目标的实践能力。
四、三人表决器在思政目标中的应用案例1. 学生自治组织:学生自治组织可以采用三人表决器进行决策,提高学生的参与度和决策效率,促进学生自治能力的培养。
2. 课堂管理:教师可以在课堂管理中引入三人表决器,让学生参与到课堂规则的制定和管理中,增强学生的责任感和自我管理能力。
表决器的工作原理
表决器是一种用于多人投票决策的工具,它的工作原理如下:
1. 预先确定投票的主题和选项:在开始投票前,确定需要讨论和决策的主题以及相关的选项。
这可以是任何决策或问题,例如选择一个项目,确定一项政策,或者选择一个领导人。
2. 发放选票和投票:将选票分发给参与投票的人员,每个人可以根据自己的意见进行投票。
选票可以是纸质的,也可以是电子形式的。
3. 计算投票结果:根据选票的数量和具体的投票规则,计算每个选项的得票数。
常见的计算方法包括简单多数制、比例代表制、单项转移投票法等。
4. 宣布投票结果:根据计算出的结果,宣布获胜选项。
这个结果通常是由投票方式决定的,例如,如果采用简单多数制,得票最多的选项将会获胜。
需要注意的是,表决器的工作原理可能因具体的实现方式而有所不同。
有些表决器可能采用电子化投票系统,利用计算机和软件来进行投票和计算结果。
而在一些情况下,表决器可能是通过手工收集和计算选票来实现的。
不同的表决器可能适用于不同的场景和需要。
三人表决器电路原理三人表决器是一种电路装置,用于在三个人之间进行决策或投票。
它由一些逻辑门和触发器组成,可以实现三个输入和一个输出。
三人表决器的原理是基于数字逻辑电路和触发器的工作原理。
逻辑门是电路中的基本元件,有与门、或门、非门等。
触发器是一种存储数字信息的电路,常见的有SR触发器、D触发器、JK触发器等。
三人表决器的主要原理是通过触发器存储每个人的投票状态,并通过逻辑门将这些状态进行组合,得到最终的输出结果。
以下是三人表决器的工作原理。
首先,我们需要为每个人提供一个开关或按钮作为输入,用于表示每个人的投票选项。
这些开关可以设置为“是”或“否”的状态。
然后,我们使用触发器来存储每个人的投票状态。
触发器有两个输入端:时钟输入和数据输入。
时钟输入控制触发器的工作时机,数据输入用于设置触发器的状态。
每个人的输入通过逻辑门连接到相应的触发器的数据输入端。
在每个时钟的上升沿,触发器将数据输入保存到内部状态中。
这样,每个人的投票状态会在时钟上升沿时被存储下来。
接下来,我们使用逻辑门来组合三个触发器的输出,得到最终的输出结果。
三人表决器通常采用多个逻辑门的组合,例如与门、或门等。
最常见的实现方法是使用与门和或门。
与门用于要求所有人同意,只有当所有输入端都是“是”时,与门的输出才为“是”。
而或门用于要求任意一个人同意,只要有一个输入端为“是”,或门的输出就为“是”。
最后,根据需要可以添加显示器或者继电器等装置,将最终的投票结果输出。
需要注意的是,三人表决器可以根据实际需要进行扩展,可以增加更多的输入端和逻辑门来实现更多人的投票。
在实际的应用中,三人表决器可以用于各种决策场景,例如公司的重要决策、学校的班委选举等。
通过这种简单的逻辑电路,可以方便快捷地进行多人投票和决策。
三人表决器实验报告总结一、实验目的本次实验的主要目的是设计并实现一个三人表决器,通过对逻辑电路的研究和实践,深入理解数字电路中的组合逻辑原理,掌握基本逻辑门的应用和电路的设计方法,提高我们的电路分析和设计能力。
二、实验原理1、表决的逻辑关系在三人表决中,结果取决于三人的投票情况。
当有两人或两人以上投赞成票时,表决结果为通过;否则,表决结果为不通过。
可以用逻辑变量 A、B、C 分别表示三人的投票,用 Y 表示表决结果。
则逻辑关系可以表示为:Y = AB + AC + BC。
2、逻辑门的选择根据上述逻辑关系,可以使用与门、或门等基本逻辑门来实现电路。
首先,通过与门得到两两投票的乘积项,如 AB、AC、BC,然后再通过或门将这些乘积项相加,得到最终的表决结果。
三、实验器材1、数字逻辑实验箱2、 74LS00(四 2 输入与非门)芯片3、 74LS32(四 2 输入或门)芯片4、导线若干四、实验步骤1、设计电路根据逻辑关系,在纸上画出电路原理图。
确定所需的逻辑门数量和连接方式。
2、芯片选择与引脚连接在实验箱上选择合适的芯片,并按照芯片引脚图将芯片正确插入插座。
使用导线将芯片的引脚按照电路原理图进行连接。
3、电路测试连接完成后,给 A、B、C 输入不同的逻辑电平(0 或 1),观察输出 Y 的电平变化,记录测试结果。
4、故障排查如果输出结果与预期不符,需要仔细检查电路连接是否正确,芯片是否损坏,输入电平是否稳定等,逐步排查故障。
五、实验数据及结果分析1、实验数据记录输入情况:A=0,B=0,C=0,输出 Y=0输入情况:A=0,B=0,C=1,输出 Y=0输入情况:A=0,B=1,C=0,输出 Y=0输入情况:A=0,B=1,C=1,输出 Y=1输入情况:A=1,B=0,C=0,输出 Y=0输入情况:A=1,B=0,C=1,输出 Y=1输入情况:A=1,B=1,C=0,输出 Y=1输入情况:A=1,B=1,C=1,输出 Y=12、结果分析从实验数据可以看出,当有两人或两人以上投赞成票时,输出 Y 为1,表决结果为通过;否则,输出 Y 为 0,表决结果为不通过。
电子表决器电子表决器表决器(votingmachine),是投票系统中的客户端,是一种代表投票或举手表决的表决装置。
表决时,与会的有关人员只要按动各自表决器上“赞成”、“反对”、“弃权”的某一按钮,荧光屏上即显示出表决结果。
目前,表决器可分为有线表决器和无线表决器两大类,其中有线表决器已经退出此项市场,而无线投票表决器已成为市场主流。
表决器特点1.传输方式:无线传输。
2 .无线传输频率:2.4G。
3.无线性能:直线无障碍传输100米。
30度角有障碍传输25米。
15度角有障碍传输60米。
4.电脑连接方式:USB2.0。
5.计算机操作系统:WINDOWS7或者WINDOWS XP。
6.终端供电方式:普通7号干电池。
7.主机供电方式:USB电池。
8.电池使用时间:普通电池连续使用100小时。
每次更换电池一般可使用2年。
9.驱动:使用我公司专用驱动。
10.软件:使用我公司专用软件。
表决器系统介绍1.提高师生间的互动,增强课堂学习气。
2.多媒体电脑储存试题,多种教学方式随意挑选。
3.即时诊断学习成效,适时进行补救教学。
4.方便易学,自动改卷,节省了教师的改卷时间。
5.教师原有的PPT、Flash等演示文稿、课件可直接使用,无需通过系统课件编辑工具重新编辑。
6.画笔功能可随意圈点、标注重点内容。
7.屏幕动画捕捉功能录制教师讲课全过程。
8.电子举手。
表决器使用范围1.引导教学活动2.控制简报教材放映3.随堂反馈评测试4.票选表决5.表演给分6.意见调查7.抢答活动8.团体竞赛表决器系统特点1.系统硬件设备由教师机遥控器、学生机遥控器、USB接收器组成。
2.系统遥控器采用人体工程学设计,外型优美,线条流畅灵动,手感舒适,色彩搭配和谐,自然统一。
3.体积适中,携带轻松,放置方便。
表决器功能特点支持6个选项以内的单选/多选投票、答题、答题计分、答题正确率等功能,可用于适合简单的投票反馈场合投票答题的简单入门型产品。
三人表决器电路原理三人表决器是一种电路装置,用于实现三个人之间的表决功能。
它通常由几个电子元件组成,包括多个开关、逻辑门和计数器等等。
这些元件通过相互连接并进行适当的控制,可以实现有效的表决操作。
三人表决器的原理是基于逻辑门和计数器的工作原理。
逻辑门是一种电子电路元件,根据输入信号的逻辑关系来输出相应的逻辑结果。
计数器是一种可在输入信号变化时进行计数的电子电路元件。
通过合理地结合和运用这些元件,可以实现三人表决器的功能。
三人表决器电路的工作原理如下:1. 开关控制:三人表决器通常需要三个开关来实现三个人的表决操作。
开关的设定有两种方式,一种是单击型开关,表示选中;另一种是双击型开关,表示取消选中。
三个开关可以分别与逻辑门的输入端相连,用来控制逻辑门的输出。
2. 逻辑门控制:逻辑门是实现三人表决器功能的核心部件,它可以根据输入的逻辑状态来判断输出的逻辑状态。
常用的逻辑门有与门、或门、非门等。
在三人表决器中,可以根据实际需要选择合适的逻辑门。
3. 计数器控制:在每一次表决过程中,计数器都需要根据开关的状态来进行计数。
计数器的输出结果可以作为逻辑门输入的一部分,用于控制逻辑门的输出状态。
当计数器达到特定值时,可以触发相应的事件,如显示表决结果等。
4. 兼容性考虑:在设计三人表决器时,应考虑各种元件之间的兼容性。
如开关、逻辑门和计数器的输入和输出电平应保持一致,以确保它们正常工作。
三人表决器电路在实际应用中有着广泛的用途。
例如在选举中,三人表决器可以用来统计候选人的得票情况;在会议中,它可以用来决定某项提案是否通过等等。
通过合理地设计和调整,三人表决器可以适应不同场合的需要,并发挥重要的作用。
综上所述,三人表决器是一种利用逻辑门和计数器等电子元件实现的电路装置。
它通过合理地控制和运用这些元件,可以实现三个人之间的表决功能。
在实际应用中,它可以帮助我们快速准确地进行表决,并提高工作效率。
解析三人表决器原理与应用
三人表决器是一种用于决策过程中的投票机制,其中三个人参与投票并通过多数原则来确定最终结果。
其原理如下:
1.三个参与者:三人表决器需要三个参与者,通常称为A、B和C。
2.投票过程:每个参与者将针对特定问题或提案发表自己的投票意见。
通常使用简单的二选一投票,例如支持或反对。
3.多数原则:根据多数原则,最终决策结果取决于获得多数支持的选项。
如果两位参与者选择相同的选项,那么该选项将被接受。
如果三位参与者的投票结果不一致,那么根据具体情况,可以采取不同的处理方式,例如重新投票、寻求妥协或依赖其他机制来解决冲突。
4.平局情况:如果三人表决器出现平局,即每个选项获得一票,那么可能需要额外的规则或程序来解决。
例如,可以指定某个参与者作为决策的决断者,或者采取其他机制如抽签、寻求第三方意见等来决定最终结果。
三人表决器的原理基于多数原则,通过多数意见来确定最终决策结果。
它可以用于各种情况下的投票和决策过程,例如团队讨论、委员会决策等,以平衡不同参与者的意见并达成共识。
然而,它也可能存在一些局限性,例如可能出现平局或参与者之间的争议。
因此,在实际应用中,可能需要更复杂的投票机制和决策流程来解决这些问题。
三人表决器在实际应用中的场景1. 应用背景三人表决器是一种用于解决决策问题的工具,它基于三个成员的投票来确定最终结果。
在实际生活中,许多场景都需要进行决策,而有些时候由于各方利益、观点和意见不同,难以达成一致意见。
这时候,使用三人表决器可以帮助解决这些问题,并达成一个公正、合理的决策结果。
2. 应用过程三人表决器的应用过程通常包括以下几个步骤:步骤一:定义问题首先需要明确要解决的问题,并将其准确地定义出来。
问题可以是一个具体的事务或者一个抽象的概念,例如某个公司是否应该推出一款新产品、某个团队是否应该采用某种开发方法论等。
步骤二:确定成员根据问题的性质和相关利益方,确定参与投票的成员。
通常情况下,三人表决器由三名成员组成,每个成员都有平等的权力和地位。
这样可以避免出现少数人垄断权力或者多数人压制少数人的情况。
步骤三:讨论和投票在确定了成员之后,开始进行讨论和投票。
成员可以依次发表自己的观点、意见和建议,并对其他成员提出的观点进行讨论和辩论。
通过充分的讨论,可以帮助各方更好地理解问题,并从中获取更多信息,以便做出更准确、全面的决策。
步骤四:投票结果在讨论完毕后,成员开始进行投票。
每个成员都可以选择“赞成”、“反对”或者“弃权”。
根据投票结果,可以得出一个最终的决策结果。
如果有两名或以上的成员选择“赞成”,则该决策被采纳;如果有两名或以上的成员选择“反对”,则该决策被否决;如果没有两名或以上的成员选择任何选项,则需要重新进行讨论和投票。
步骤五:应用效果三人表决器能够帮助解决各种问题,并达到以下几个效果:•公正性:由于每个成员都有平等的权力和地位,因此三人表决器能够确保决策过程公正,避免某一方利益过于集中或者被排斥的情况。
•多元性:通过讨论和辩论,三人表决器能够充分考虑各方观点和意见,避免片面或偏颇的决策结果。
•理性性:三人表决器鼓励成员在讨论和投票过程中以理性为基础,而不是个人情感或偏见。
这有助于避免情绪化的决策,提高决策质量。
三人表决器应用场景一、引言三人表决器是一种常见的投票决策工具,它通常由三个人组成,用于在团队或组织中做出决策。
三人表决器的应用场景非常广泛,可以用于各种不同的情境中,如公司管理、政府机构、学校教育等领域。
本文将详细介绍三人表决器的应用场景及其优点。
二、三人表决器的定义及原理三人表决器是一种由三个人组成的投票团队,用于在团队或组织中做出决策。
它的原理非常简单,即通过三个人分别投票来确定最终结果。
当其中两个人投同意票时,这项提议就被通过;如果两个人投反对票,则提议被否决;如果三个人都无法达成一致意见,则需要重新讨论或寻求其他解决方案。
三、三人表决器的应用场景1. 公司管理在公司管理中,经常需要进行各种重要的决策,如招聘新员工、制定新政策等。
而这些决策往往需要多方面参与和讨论才能得出最佳方案。
此时使用三人表决器可以有效地解决决策难题,避免出现单一决策者的问题,同时也可以增强团队合作和凝聚力。
2. 政府机构政府机构中也经常需要进行各种重要的决策,如制定新法律、调整税收政策等。
使用三人表决器可以帮助政府机构更好地协调各方面的利益,同时也可以提高政府决策的公正性和透明度。
3. 学校教育在学校教育中,三人表决器可以用于学生会选举、班级管理等方面。
通过使用三人表决器,可以让学生更好地参与到学校管理中来,增强团队意识和责任感。
4. 社区管理社区管理中也经常需要进行各种重要的决策,如修建公共设施、改善环境卫生等。
使用三人表决器可以帮助社区居民更好地参与到社区管理中来,提高居民对社区事务的认识和参与度。
四、三人表决器的优点1. 公正性高由于三个人投票来确定最终结果,所以三人表决器具有很高的公正性。
每个人的意见都会被平等地考虑,避免了单一决策者的问题。
2. 决策效率高三人表决器可以快速地做出决策,避免了无休止的讨论和争论。
同时也可以提高团队合作和凝聚力。
3. 可操作性强三人表决器的操作非常简单,只需要三个人分别投票即可。
目录标题 (4)中文摘要 (4)前言 (4)一、设计与制作的主要内容 (4)二、设计任务及设计要求 (4)三、所用器材 (5)3.1 74LS283简介 (5)3.1.1 74LS283管脚的简要说明 (5)3.2 CC4511简介 (5)3.2.1 CC4511的管脚图 (5)3.3 七段数码管指示图 (6)四、实验原理及设计思想 (6)4.1方案一: (6)4.1.1原理方框图 (6)4.1.2操作流程图 (6)4.1.3内容简述 (7)4.1.4电路原理图 (8)4.2方案二: (8)4.2.1 内容简介 (8)4.2.2真值表 (8)4.2.3电路说明 (8)4.3两方案比较 (9)五、Multisim软件仿真 (9)5.1仿真设计 (9)5.2设计VHDL源程序 (10)六、设计结果及心得体会 (12)参考文献 (13)致谢 (14)外文页 (15)表决器的设计与制作摘要本次设计的七人表决器,是投票系统中的客户端,是一种代表投票或举手表决的表决装置。
表决时,与会的有关人员只要按动各自表决器上“赞成”“反对”“弃权”的某一按钮,荧光屏上即显示出表决结果。
在七人表决器中七个人分别用手指拨动开关SW1、SW2、SW3、SW4、SW5、SW6、SW7来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。
表决结果用LED(高电平亮)显示,如果决议通过那么发光二极管会发亮;如果不通过那么发光二极管就不亮;如果对某个决议有任意四到七人同意,那么此决议通过,发光二极管就会发亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,发光二极管就不会亮。
关键词表决器设计制作前言表决器(votingmachine),是投票系统中的客户端,是一种代表投票或举手表决的表决装置。
表决时,与会的有关人员只要按动各自表决器上“赞成”、“反对”、“弃权”的某一按钮,荧光屏上即显示出表决结果。
目前,表决器可分为有线表决器和无线表决器两大类,其中有线表决器已经退出此项市场,而无线投票表决器已成为市场主流。
通过对表决器的设计和对其基本结构的分析了解和对其操作流程的熟悉,可以更清楚地认识和运用它。
一、设计与制作的主要内容按照设计题目,根据所学的组合逻辑所学的知识及数字电路和嵌入式的知识完成七人表决器的设计,使之能够满足表决时少数服从多数的表决规则,根据逻辑真值表和逻辑表达式完成表决功能。
首先根据七人多数表决电路列出真值表,进行化简,写出逻辑表达式,画出逻辑图;然后采用MAX+plusⅡ的原理图输入设计法进行设计。
二、设计任务及设计要求1.用7个开关模拟7个人投票,“1”表示赞成,“0”表示反对。
有4票以上赞成,决议通过。
2.电路可以自动统计出票数,并在数码管上显示出来。
3.用一个发光二极管表示决议是否通过。
三、所用器材74LS283两片 CC4511一片数码管一个开关七个发光二极管一个导线若干芯片引脚如下3.1 74LS283简介:74LS283的管脚图如下所示:3.1.1 74LS283管脚的简要说明:74LS283 可进行两个4 位二进制数的加法运算,每位有和输出Σ1~Σ4,进位由第四位得到C4引出端符号A1–A4 运算输入端B1–B4 运算输入端C0 进位输入端Σ1–Σ4 和输出端C4 进位输出端3.2 CC4511简介3.2.1 CC4511的管脚图CC4511的管脚图如下所示:CC4511的管脚说明如下CC4511是双列16脚封装,BCD-7段锁存或译码器。
它将输入BCD 标准代码变成驱动7段数码管所需的码信号。
它又称四线—七段锁存译码器,其中四线A-D 为BCD 码输入端,高电平有效,A 为低位输入端,D 为高位端,七段a-g 输出高电平以驱动共阴极数码管发光。
LE 为锁存控制端,高电平时能锁存输入的BCD 码。
LT 为灯测试反向控制端,BI 为消隐反向控制端。
3.3、 七段数码管指示图七段数码管指示图的结构示意图如下所示使用一个七段数码管用以显示表决结果,通过显示P ,否则显示E ;另外使用两个数码管用以分别显示同意与不同意的人数,四、实验原理及设计思想:4.1方案一: 4.1.1原理方框图:4.1.2操作流程图如下脉冲电路 计数器T 触发器 结果显示数值比较器七段显示译码器4.1.3 内容简述:表决器分为三个:“表决数据的输入部分、数据控制处理部分、结果的输出部分”。
通过这三大部分构成了整个表决器,表决人员表决结果:“同意、否决”两个不同的数据用三个按钮来分别输入,经过总线送入数据控制处理部分电路中,送入的数据经过计数器统计处理后,再通过数据比较器进行比较,将结果反映在数码管上输出。
4.1.4电路原理图:4.2方案二:4.2.1 内容简介:用全加器(74LS283)来统计投票的人数,由于最多有7人投票,当有4人以上投票时,加法器的最终输出结果的次高位为高电平,于是可以给次高位连接一个发光二极管用来表示决议是否通过。
加法器的输出结果再通过常用的CMOS七段显示译码器74HC4511来实现结果的编译,通过LED数码管显示投票的人数。
4.2.2真值表:A B C D E F G Y0 0 0 0 0 0 0 00 0 0 0 0 0 1 00 0 0 0 0 1 0 00 0 0 0 0 1 1 11 1 1 1 1 0 0 11 1 1 1 1 0 1 11 1 1 1 1 1 0 11 1 1 1 1 1 1 11 1 1 0 0 0 0 0其它值省略4.2.3电路说明当投票时,有几人投票,LED数码管即可显示所投票的人数。
当有超过4人投票时,LED数码管显示投票人数,同时发光二极管亮,表示通过。
由此,此电路满足设计的要求。
4.3两方案比较方案一设计的较复杂,由于耗材多从经济实用和实验室的设备来考虑,则方案二更合适,它耗材少而且原理简单还可以同样的反应出实验结果,所以实验二比较合适。
两方案都是用全加器实现但原理上方案二比较直观、易懂适合初学者学习和掌握。
五、Multisim软件仿真5.1仿真设计应用MAX+plusⅡ软件的原理图设计法,设计编译一个七人多数表决电路,用与门,或门来实现,进行系统仿真并用EDA实验开发系统进行硬件验证.通过VHDL编程,实现七人表决器,要求有7个表决输入端,一个清零端,一个锁存端,表决结果显示端。
1.在MAX+plusⅡ中打开已经建好的工程文件。
2. 按要求选择设备并且分配管脚,重新编译,连接实验板的电源线与下载线,下载程序文件。
3. 分别拨动开关sw0到sw6,看是否能够满足基本要求;在分别拨动sw16,sw17,看是否能够按要求显示表决人数结果与对应的LED灯是否正常亮与灭。
4. 调试程序直到能够完成设计的要求。
5. 最后结果:实验板启动默认可以显示表决结果为E。
任意拨动4个开关后可以正确显示比例和P;拨动选择显示记名,与开关对应LED等亮起;选择显示比例,则另两个数码管可以显示双方人数比例。
各个符号表示的含义如下:set:选择是否记名set1:选择是否显示投票人数及比例xin[0:6]:表决输入,分别是七个拨动开关sel:输出指示是否记名投票sel1:输出指示是否显示投票人数及比例xout[0:6]:译码用数码管输出表决是否通过xout0[0:6]:译码用数码管输出同意的人数(set1=1)xout1[0:6]:译码用数码管输出不同意同意的人数(set1=1)xout2[0:6]:译码用七个LED输出记名结果5.2设计VHDL源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity biao isport (set, set1: in std_logic ; ----控制按键xin: in std_logic_vector ( 6 downto 0 ); ----按键输入表决 sel,sel2: out std_logic; -------控制指示灯xout,xout0,xout1,xout2 : out std_logic_vector ( 6 downto 0 )); ----xout显示结果,xout0显示否决的人数,xout1赞成的人数,xout2 LED 输出end entity ;architecture bev of biao isbeginprocess ( xin ,set,set1)variable j: integer :=0;beginj:=0;for i in 0 to 7 loop ------统计同意的个数if xin(i)='1' thenj:=j+1;end if;end loop;if (set='1') then -------是否记名投票sel<='1';xout2<=xin; -------记名投票elsesel<='0';xout2<="0000000";end if;if j>4 then ------判决是否表决通过并输出结果xout<="0001100"; ----数码管显示Pelse xout<="0000110"; -----数码管显示Eend if;if set1='1' then ------是否显示表决比例sel2<='1'; ------显示指示灯亮并且译码输出同意与不同意的数目case j is ------显示赞成的人数when 0 =>xout1<="1000000";when 1 =>xout1<="1111001";when 2 =>xout1<="0100100";when 3 =>xout1<="0110000";when 4 =>xout1<="0011001";when 5 =>xout1<="0010010";when 6 =>xout1<="0000010";when 7 =>xout1<="1111000";when others =>xout1<="XXXXXXX";end case;case j is ------显示不赞成的人数when 7 =>xout0<="1000000";when 6 =>xout0<="1111001";when 5 =>xout0<="0100100";when 4 =>xout0<="0110000";when 3 =>xout0<="0011001";when 2 =>xout0<="0010010";when 1 =>xout0<="0000010";when 0 =>xout0<="1111000";when others =>xout0<="XXXXXXX";end case;else ------不显示表决比例sel2<='0';xout0<="1111111"; ----不显示数字xout1<="1111111";end if;end process;end architecture bev通过软件仿真,更直观的实现了七人表决器的功能,能够根据投票的不同数目来显示出票数和表决的结果。