数电实验自动售邮票
- 格式:doc
- 大小:198.00 KB
- 文档页数:3
课程设计的内容完成自动电子售票机的设计任务。
课程设计的要求与数据设计要求包括:1. 售卖的票共有3种,每种的单价用1个LED显示(假设面额分别为9元、8元、5元);2. 用3个按键分别代表这3种面额的票,按下按键就表示选中该种面额的票,每个按键可以按多次,按键的次数表示欲购买该种面额票的数量;3. 用3个按键,分别代表投入的钱币的面值,共有3种情况:1元,2元,5元,每个按键可以按多次,按键的次数表示投入该种面值钱币的数量;4. 当投入的钱不够的时候,用1个红灯亮来表示,同时用LED显示所缺的钱数额;当投入的钱达到或者超过所需的金额时,用1个绿灯亮来表示,同时用LED显示应找回给用户的钱数额。
课程设计应完成的工作1. 利用各种电子器件设计自动电子售票机;2. 利用DE2板对所设计的电路进行验证;3. 总结电路设计结果,撰写课程设计报告。
应收集的资料及主要参考文献应收集的资料:[1]实现功能所需的芯片型号,引脚图[2]QuartusII的基本操作主要参考文献:[1]阎石主编.数字电子技术基础(第五版)[M].北京:高等教育出版社,20065.[2]谢云等.现代电子技术实践课程指导[M].北京:机械工业出版社,2003.2.[3]周誉昌.电工电子技术实验[M].北京:高等教育出版社,2007.3.发出任务书日期: 2009年10 月 23 日指导教师签名:计划完成日期: 2009 年10 月30 日基层教学单位责任人签章:主管院长签章:摘要这款自动电子售票机采用74系列芯片及门电路实现课程设计要求,操作简单,能够为用户提供人性化的数据,具有较强的实用性。
功能简介:售票机可向对象提供单价为5元、8元和9元的票,按票价对应键选中某种单价的票后,通过票数选择按钮还可以选择购买多张此单价的票。
然后客户能够使用常用的1元、2元和5元钱币(对应3个标明的输入建)进行付款。
面板显示:售票机使用了多个数码管分别显示所选票的单价、购票总额、投入钱币总额、欠费和找零,还使用了不同颜色的彩灯表示欠费和找零两种情况。
自动限时邮票出售机系统设计一、任务要求与设计目标本系统能根据功能开关 、 、 的状态,确定购买1元、5角或4角的邮票。
电路的输入信号为每次投入的一枚1元、5角或1角硬币所产生的单次正脉冲信号。
对投币的时间有要求,要求每次购票投币时间限定在10S 内,否则全部退回已投入的硬币,系统回到等待再投币状态。
该系统能自动存储并累计投币金额,当已投入的硬币计值超过或大于所要购买的邮票种类所需金额时,给出售票输出信号C ,并同时给出应找回的剩余零钱信号Y 。
要求用D 触发器和门电路设计实现,完成状态转换图、卡诺图化简、逻辑电路图。
设计要求:1、分析设计要求,明确设计指标。
必须仔细分析课题要求、性能、指标、应用环境等,广开思路,构思出各种设计方案,绘制结构框图。
2、确定合理的总体方案。
对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难以等方面作综合比较,并考虑器件的来源,确定可行方案。
3、设计各单元电路。
总体方案化整为零,分解成若干子系统或单元电路,逐个设计。
4、组成系统。
在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出的规律摆放各电路,并标出必要的说明。
二、系统划分为控制器和受控器根据系统设计要求,该系统应包括投币信号预处理电路、控制器和数据处理器(受控器)三部分。
其中数据处理器应包含累加寄存器、限时自动计时电路和输出运算电路。
据此,可画出系统初始结构框图,如图1所示。
10M 5M 4M信号说明:K为投币信号,K1=1角,K5=5角,K10=1元。
X为投币信号K的编码信号,X8X4X2X1是将K编码为8421码,X C为投币标志信号。
S为系统启动信号,其中:T1、T0为状态信号。
M为邮票面值选择开关。
O C为定时到信号。
Y为找币信号(Y8Y4Y2Y1为8421码)。
F为不同面值邮票售出信号(F10为1元,F5为5角,F4为4角)。
①输入信号预处理电路任务是把异步输入信号K*转换为单次同步信号K,并对它进行编码,编成8、4、2、1有权二进制码X(X8X4X2X1),同时产生一个表征有无投币输入的输出信号Xc.②累加寄存器R累加寄存器用来累计已投入硬币的值,即求输入的硬币编码信号X和寄存器R内容之和,求和用加法器实现。
《EDA技术实用教程》自动售邮票机设计报告题目:自动售邮票机课程设计学院:专业:班级:姓名:学号:指导教师:题目要求设计一个自动售邮票机,用开关电平信号模拟投币过程,每次投一枚硬币,机器能自动识别硬币金额只有1元和5角两种。
设定票价为2.5元,每次售一张票。
购票时先投入硬币,当投入的硬币总金额达到或超过票的面值时,机器发出指示,这时可以按取票键取出票。
如果所投硬币超过票的面值则会提示找零钱,取完票以后按找零键则可以取出零钱。
其他要求:1)通过LCD2004显示;2)能够选择不同面值的邮票。
1.题目分析本次实验所要完成的任务是实现邮票的自动销售。
机器有2.5元和3元的两种面值邮票,首先进入选票阶段,需要选择所购邮票的面值,用拨码开关L8选择需要购买的邮票面值。
选定后进入投币阶段,有四个拨码开关,组成7种状态。
接着进入确认阶段,查看输入金额是否足够,若不够点亮LED1灯提示“金额不足”。
当输入金额达到所需票价时,点亮LED2提示邮票输出。
当输入金额超过所需票价时,点亮LED2、LED3提示邮票输出,找零。
四个拨码开关状态代表:S0:0000 输入0.0元S1:0001 输入0.5元S2:0010 输入1.0元S3:0011 输入1.5元S4:0100 输入2.0元S5:0101 输入2.5元S6:0110 输入3.0元2、原理框架3、程序程序简介:1、变量含义:clk时钟choose 选择邮票面值k1 k2 k3 k4 四个拨码开关输入金额键en 使能dat八位数据ok 可以取票warning 警告金额不足change 找零2、主程序如下://利用verilog驱动LCD2004//本实验是用LCD2004显示英文。
(LCD带字库)modulelcd2004(choose,clk,k1,k2,k3,k4,rs,rw,en,dat,ok, waring,change);input choose,clk,k1,k2,k3,k4;//系统时钟输入20MHzoutput [7:0] dat; //LCD的8位数据口output rs,rw,en; //LCD的控制脚output ok,waring,change;reg e;reg [7:0] dat;regrs;reg [15:0] counter;reg [5:0] current,next;regclkr;reg [1:0] cnt;regx,y,z;always @(posedgeclk)begincounter=counter+1'b1;if(counter==16'h000f)clkr=~clkr;endalways @(posedgeclkr)begincurrent=next;case(current)set0: begin rs<=0; dat<=8'h38; next<=set1; end //* 设置8位格式,4行,5*8set1: begin rs<=0; dat<=8'h0C; next<=set2; end//*整体显示,关光标,不闪烁*/set2: begin rs<=0; dat<=8'h06; next<=set3; end //*设定输入方式,增量不移位*/set3: begin rs<=0; dat<=8'h01; next<=set41; end //*清除显示*/set41: begin rs<=0; dat<=8'h80; next<=dat0; end//显示第一行dat13: if((k1==0)&&(k2==0)&&(k3==0)&&(k4==0))begin if(choose==0)Begin rs<=1; dat<="2"; next<=dat14;endelse if(choose==1)beginrs<=1; dat<="3"; next<=dat14; endendelse if((k1==0)&&(k2==0)&&(k3==0)&&(k4==1))begin if(choose==0)beginrs<=1; dat<="2"; next<=dat14;endelse if(choose==1)beginrs<=1; dat<="3"; next<=dat14; endendelse if((k1==0)&&(k2==0)&&(k3==1)&&(k4==0))begin if(choose==0)beginrs<=1; dat<="2";next<=dat14;endelse if(choose==1)beginrs<=1; dat<="3"; next<=dat14; endendelse if((k1==0)&&(k2==0)&&(k3==1)&&(k4==1))begin if(choose==0)beginrs<=1; dat<="2"; next<=dat14;endelse if(choose==1)beginrs<=1; dat<="3"; next<=dat14; endendelse if((k1==0)&&(k2==1)&&(k3==0)&&(k4==0))begin if(choose==0)beginrs<=1; dat<="2"; next<=dat14;endelse if(choose==1)beginrs<=1; dat<="3"; next<=dat14; endendelse if((k1==0)&&(k2==1)&&(k3==0)&&(k4==1))begin if(choose==0)beginrs<=1; dat<="2"; next<=dat14;endelse if(choose==1)beginrs<=1; dat<="3"; next<=dat14; endendelse if((k1==0)&&(k2==1)&&(k3==1)&&(k4==0))begin if(choose==0)beginrs<=1; dat<="2"; next<=dat14;endelse if(choose==1)beginrs<=1; dat<="3"; next<=dat14; endendnul: begin rs<=0; dat<=8'h00; // 把液晶的E 脚拉高if(cnt!=2'h2)begine<=0;next<=set0;cnt<=cnt+1'b1;endelsebeginnext<=nul; e<=1;endenddefault: next<=set0; endcaseendassign en=clkr|e; assignrw=0;assign ok=x; assignwaring=y;assign change=z; endmodule4、顶层原理图5、引脚分配6、设计总结经过这次对自动售邮票机的设计,让我们对EDA设计有了更一步的认识,同时也对EDA这门课程有了更深入的了解。
河南理工大学数电课程设计系别:电气工程系班级:电信本08-2班姓名:李敬学号:320808030206指导老师:自动出售邮票机电路的设计一.设计参数:1.每次只允许投入一枚五角或一元的硬币,累计投入一元硬币给出一张邮票,如果投入一元五角硬币,则给出邮票的同时还应找回五角钱。
2.要求用边沿JK触发器和与非门实现,完成状态转换图、卡诺图化简、三个方程(驱动、输出、状态)、逻辑电路图。
二.设计目的:随着现代社会的发展,人类社会日趋走向一个物质文明社会。
伴随着我国经济的迅速发展,人们生活水平日益提高,人们对各服务行业的自动化程序要求也就越来越高,作为服务行业的自动化装置,已经开始进入到使用单位,自动售货机更是随处可见极大程度上方便了人们的生活。
三.设计要求:1 .分析设计要求,明确性能指标。
必须仔细分析课题要求、性能、指标及应用环境等,广开思路,构思出各种总体方案,绘制结构框图。
2 .确定合理的总体方案。
对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可行方案。
3 .设计各单元电路。
总体方案化整为零,分解成若干子系统或单元电路,逐个设计。
4.组成系统。
在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出的规律摆放各电路,并标出必要的说明。
四.系统框图:累加钱数要用数码管显示,显示两位即可。
五.各单元电路的设计:1.硬币面值识别电路设计分币仪是将两种硬币(一元、五角),从一个孔投入,从各自的边口落出。
在落出口中的两侧各有一个小孔,一侧装发光二级管D1,另一侧装接收光电三级管T1 ,在没有硬币通过时。
接收光电三极管有光照射,光电三极管导通,使三极管T2 截止;当有硬币通过时,光电三极管瞬间无光照射。
T1截止,三极管T2 导通,这时在T2 端便产生一个负脉冲,这个负脉冲送给自动售货系统,电路图如下:2.状态转换表取投币信号为输入逻辑变量。
投入一枚一元硬币时用A=1表示,未投时A=0。
自动售邮票机的设计一、摘要:通过参考文献资料仔细分析自动售货机的原理本文详细的介绍了(Very High Speed Integrated Circuit Hardware Description Language)语言的一些特点及语法结构;介绍了自动售货机系统的基本原理、系统组成和主要功能;并分析讨论了用VHDL语言开发自动售货机系统的设计流程。
本设计利用Altera公司的开发软件Quartus II平台,采用VHDL硬件描述语言编程的设计方法设计系统核心电路的硬件程序,在Quartus II软件平台上进行编译和电路仿真,最后生成的目标文件下载到实验台内的FPGA芯片以实现该系统,并在实验台上对设计进行了验证。
最终完成的自动售货机系统具有投币处理、商品选择、购货撤销、异常退币、计算存货等主要功能,整个系统的开发体现了在Quartus II软件平台上用VHDL 设计数字控制系统的实用性。
二、综述电子技术的发展,特别是专用集成电路(ASIC)设计技术的日趋进步和完善,推动力数字系统设计的迅猛发展。
电子设计自动化(EDA)工具给电子设计带来了巨大的变革,尤其是硬件描述语言的出现和发展,解决了传统用电路原理设计系统工程时的诸多不便,成为电子电路设计人员的最得力助手。
学习VHDL 已日益成为我国高等学校学生和工程技术人员的迫切需要。
本设计是利用VHDL,用模块合成的方式来完成的。
根据自动售票机的功能以及顾客的各种买卖行为,将其分为几大模块来处理。
目前最通用的硬件描述语言有VHDL与Verilog两种。
1982年美国国防部的一个分支专案要求所有的数字电路必须用VHDL语言设计。
1983年的IBH及TI等公司在此专案规定下,开始开发VHDL。
随后IEEE1076号标准。
后来将一种可配合集成工具的VHDL程序包,特别命名为IEEE1076.3,并成为1076号IEEE标准的一部分。
最近,新标准包IEEE1076.4被开发出来,成为建立ASIC 及FPGA的模型函数库。
自动出售邮票机课程设计一、课程目标知识目标:1. 学生能够理解自动出售邮票机的基本工作原理和功能组成;2. 学生能够掌握自动出售邮票机程序设计的思路和方法;3. 学生了解自动出售邮票机在生活中的应用及其优点。
技能目标:1. 学生能够运用所学的编程知识,设计并实现一个简单的自动出售邮票机程序;2. 学生能够通过合作与交流,解决自动出售邮票机程序设计中遇到的问题;3. 学生能够运用逻辑思维和分析能力,对自动出售邮票机程序进行调试和优化。
情感态度价值观目标:1. 培养学生对编程的兴趣和热情,激发他们学习相关学科知识的积极性;2. 培养学生团队协作意识,使他们学会在合作中共同解决问题;3. 培养学生创新思维,鼓励他们敢于尝试新方法,勇于挑战自我。
课程性质:本课程为信息技术学科课程,结合数学和逻辑思维,以自动出售邮票机为载体,培养学生编程兴趣和实际操作能力。
学生特点:五年级学生对编程有一定的基础,具备一定的逻辑思维和分析能力,喜欢动手实践和合作交流。
教学要求:教师应注重引导学生运用所学知识解决实际问题,鼓励学生创新思维和团队协作,提高学生的编程能力和实践操作技能。
通过本课程的学习,使学生能够将所学知识应用于实际生活,提高他们的信息素养。
二、教学内容1. 自动出售邮票机的基本原理介绍:包括邮票机的功能、组成及其工作流程;相关教材章节:第五章第一节《自动售货机原理》。
2. 编程语言基础复习:回顾与自动出售邮票机编程相关的基础知识,如变量、条件语句和循环语句等;相关教材章节:第三章《编程基础》。
3. 自动出售邮票机程序设计:引导学生学习程序设计思路,分解问题,设计并实现邮票机的各项功能;相关教材章节:第五章第二节《程序设计实例》。
4. 团队合作与交流:组织学生分组讨论,共同解决程序设计过程中遇到的问题;相关教材章节:第六章《团队合作与交流》。
5. 程序调试与优化:教授学生如何调试程序,发现问题并进行优化;相关教材章节:第四章《程序调试与优化》。
简易投币式邮票自动销售机控制电路设计自动化1004班目录第一章设计指标……………………………………....……...…….... 设计指标……………………………………………………………....第二章系统概述………………………………………...…..…...…....2.1设计思想…………………………………………………………..2.2可行性论证…………………………………………….…...…... 2.3各功能的组成………………………………………………………2.4总体工作过程………………………………………………………第三章单元电路设计与分析………………………………...…...…...3.1各单元电路的选择………………………………………………3.2设计及工作原理分析………………………………………………第四章电路的组构与调试…………………………………..…...…...4.1 遇到的主要问题…………………………………………………..4.2 现象记录及原因分析…………………………………………….4.3 解决措施及效果…………………………………………………4.4 功能的测试方法、步骤、设备、记录的数据……………………第五章结束语………………………………………………………...5.1对设计题目的结论性意见及进一步改进的意向说明…………..5.2 总结设计的收获与体会…………………………………………. 附图(电路图、电路总图) ………………………………………………参考文献……………………………………………………………第一章设计指标设计一个简易投币式邮票自动销售控制电路, 具体要求如下:(1)系统允许投入0.5元和1元两种硬币,售出面值为2元的邮票。
(2)当硬币投入后,系统用七段LED数码管显示已投入的累计币值。
(3)当投币累计等于2元时,输出邮票;当投币累计大于2元时,输出邮票并输出找零币值。
(4)输出信号有效电平维持3s时间,输出信号无效后系统回到初始状态(累计币值为0)。
成绩评定表课程设计任务书目录1 概述 (1)1.1 设计背景和意义 (2)1.2 设计任务 (3)1.3 设计要求 (4)2 原理设计及层次划分 (5)2.1 工作原理 (6)2.2 层次划分 (7)3 软件设计 (8)3.1 XX模块代码设计 (9)3.2 XX模块代码设计 (10)4 仿真及测试 (11)4.1 XX模块仿真 (12)4.2 XX模块仿真 (13)5 总结 (14)6 参考文献 (15)1概述1.1 设计背景和意义EDA技术是先进的电子设计手段,随着现代社会的发展,人类社会日趋走向一个物质文明社会。
伴随着我国经济的迅速发展,人们生活水平日益提高,人们对各服务行业的自动化程序要求也就越来越高,作为服务行业的自动化装置,已经开始进入到使用单位,自动售货机更是随处可见极大程人们的生活1.2 设计任务用两个发光二极管分别模拟售出面值为6角和8角的邮票,购买者可以通过开关选择一种一种面值的邮票,灯亮表示邮票售出,用开关分别模拟1角、5角和1元硬币投入,用发光二极管分别代表找回的剩余的硬币,每次只能售出一枚邮票,当所投硬币达到或超过购买者所选面值时,售出一枚邮票,并找回剩余的硬币,回到初始状态;当所投硬币值不足面值时,可以通过一个复位键退回所投硬币,回到初始状态。
1.3 设计要求利用所学的EDA设计方法设计自动售邮票的控制电路,熟练使用使用QUARTUSII应用软件,进一步学习使用VHDL语言、原理图等EDA设计方法进行综合题目的方法。
1.调试底层模块,并时序仿真。
2.设计顶层模块,并时序仿真。
3.撰写课程设计报告.设计报告要求及格式见附件。
2 原理设计及层次划分2.1 工作原理利用状态机模拟输入累加的钱的总数,通过判决电路对输入的钱的总值进行判断,根据判断结果做出售票、找零、复位等相应的动作。
而对状态机得应用应注意其设计步骤:a . 逻辑抽象。
分析给定的逻辑问题,搞清楚输入和输出,通常取原因或者条件为输入,结果为输出。
目录第一部分实验要求。
1第二部分基本实验。
3 实验一常用电子仪器使用及反相器。
3 实验二门电路功能测试及逻辑代数应用。
5 实验三组合逻辑电路的分析与设计。
8 实验四多路选择器及函数发生器。
9 实验五触发器功能测试及应用。
11 实验六计数器和寄存器的实际应用。
14 实验七时序电路的分析和设计。
16 实验八定时电路的实际应用。
18第三部分 EDA实验。
21 实验一译码器及多路选择器的应用。
21 实验二数码管扫描显示电路。
27第四部分常用电子仪器使用说明。
38 第一节SS-7804示波器。
38 SS-7802示波器的光标测量功能简介。
55 第二节小型数字万用表9233使用说明。
58 第三节 SXJ—Z2电子技术学习机。
62第五部分附录。
70附录一常用集成电路简介。
70附录二元器件介绍。
74第一部分实验要求一、实验部分的教学基本要求实验课的目的是培养学生的电子电路实验研究能力,培养学生理论联系实际的能力。
使学生能根据实验结果,利用所学理论,通过分析找出内在联系。
从而对电路参数进行调整,使之符合性能要求。
在实验中培养学生实事求是,严谨的科学作风。
实验部分的基本要求是:1.正确使用常用电子仪器,如示波器、信号发生器、数字万用表、参数测试仪、稳压电源等。
2.掌握基本的测试技术,如测量频率、相位、时间、脉冲波波形参数、电压或电流的平均值、有效值、峰值以及电子电路的主要技术指标。
3.具有查阅电子器件手册的能力。
4.根据技术要求能选用合适的元器件,设计常用的小系统,并进行组装和调试。
5.初步具有分析,寻找和排除电子电路中常见故障的能力。
6.初步具有正确处理实验数据、分析误差的能力。
7.能独立写出严谨的、有理论分析的、实事求是的、文理通顺、字迹端正的实验报告。
二、实验规则为了顺利完成实验任务,确保人身、设备安全,培养严谨、踏实、实事求是的科学作风和爱护国家财产的优秀品质,特制定以下实验规则。
1.实验前必须充分预习,完成指定的预习任务。
数电自动出售邮票机设计答辩题目
以下是一些数电自动出售邮票机设计的答辩题目,供您参考:
1. 请简单介绍您的自动出售邮票机的工作原理和设计思路是什么?
2. 在设计过程中,您遇到的最大的难题是什么?您是如何解决的?
3. 在您的自动出售邮票机中,有哪些与众不同的特点和亮点?
4. 您如何保证您的自动出售邮票机的安全性和稳定性?是否经过了严格的测试和验证?
5. 您认为您的自动出售邮票机在实际使用中会面临哪些挑战?您有哪些优化和改进的计划?
6. 如果有机会可以进一步发展和改善您的自动出售邮票机,您希望将其做出哪些改进或升级?
7. 设计过程中,您对团队协作的重要性有什么看法?您作为团队的成员,扮演的角色和发挥的作用是什么?
8. 最后,请简要介绍一下您的自动出售邮票机的应用场景和前景。
设计一个自动售邮票的控制电路
1.设计任务
用两个发光二极管分别模拟售出面值为6角和8角的邮票,购买者可以通过开关选择一种面值的邮票,灯亮时表示邮票售出。
用开关分别模拟1角,5角和1元硬币投入,用发光二极管分别代表找回剩余的硬币。
要求:每次只能售出一枚邮票,当所投硬币达到或超过购买者所选面值时,售出一枚邮票,并找回剩余的硬币,回到初始状态;当所投硬币面值不足面值时,可以通过一个复位键退回所投硬币,回到初始状态。
总体框图如图9-7所示。
2.模块及模块功能
模块SOLDA如图9-8所示。
该模块实现出售邮票的逻辑功能。
M1,M5,M10分别表示投入1角,5角,1元钱,T6,T8分别表示要购买6角,8角的邮票,S6,S8分别表示售出6角,8角的邮票,CH表示找回的钱。
图9-7 总体框图
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity solda is
port(m1,m5,m10:in std_logic;
t6,t8:in std_logic;
reset:in std_logic;
clk:in std_logic;
s6,s8:out std_logic;
ch:out std_logic_vector(4 downto 0));
end solda; 图9-8
architecture sold_arc of solda is
begin
process(clk,m1,m5,m10,t6,t8,reset)
variable money:std_logic_vector(4 downto 0);
variable a:std_logic;
variable cnt:integer range 0 to 60;
begin
if clk'event and clk='1'then
if a='1'then
if m1='0'then
money:=money+1;
elsif m5='0'then
money:=money+5;
elsif m10='0'then
money:=money+10;
elsif reset='0'then
ch<=money;
a:='0';
elsif t6='0' and money>5 then
ch<=money-6;
s6<='1';
a:='0';
elsif t8='0' and money>7 then
ch<=money-8;
s8<='1';
a:='0';
end if;
else
if cnt<60 then
cnt:=cnt+1;
else
cnt:=0;
money:="00000";
s6<='0';
s8<='0';
ch<="00000";
a:='1';
end if;
end if;
end if;
end process;
end sold_arc;
模块ciao如图9-9所示。
此模块为同步消抖动模块,它的输入输出均为负脉冲。
功能扩展:
1.投币可以累积到10元,超过10元时发出报警;
2. 可以累计投币、累计买票;
3. 按结束按钮,自动进行结算找零。