基于CPLD的数字滤波抗干扰电路设计
- 格式:docx
- 大小:2.12 MB
- 文档页数:9
95中国设备工程C h i n a P l a n t E n g i n e e r i ng中国设备工程 2021.05 (上)随着现代智能化的进步,现代工业发展中,伺服电机的精准度标志着一个国家的工业和科学技术水平是否先进。
我国的伺服控制系统虽然发展迅速,但是和国外的伺服控制系统比还有很大的差距;差距表现在响应频率方面,我国伺服电机的响频率几乎只能达到国外的一半;还有就是控制核心,控制核心基本还是依靠国外进口;另外,就是稳定性。
昆明卷烟厂的卷包机G.D 控制伺服系统是全厂对伺服控制稳定性和精度要求最高的地方。
由于使用年限比较长,并且各种线路老化造成伺服控制系统受到各种干扰信号的干扰,对系统稳定性造成巨大影响;无论伺服系统采用速度控制还是采用位置控制,速度反馈信号都在这两种伺服闭环控制系统中起着很重要的作用,而CPLD 主要就是速度反馈信号采集和处理,所以,通过更换CPLD 提高速度反馈信号的精度,提高G.D 伺服的抗干扰性能。
1 CPLD 选择设计1.1 编码器选择对于伺服测速信号,必须满足极高的更新速度和极高的测试精度,光电编码器正好能满足这两种要求,所以,速度反馈中的传感器通常选用光电编码器。
编码器通过A、B、Z 三个脉冲来实现,A 相脉冲主要是用来做计数脉冲用的,A 相脉冲所对应的B 脉冲主要是用来作为鉴相脉冲用的,就是浅谈基于CPLD 的G.D 伺服的抗干扰系统设计李华文,倪骏,程倩(红云红河烟草(集团)有限责任公司昆明卷烟厂,云南 昆明 650202)摘要:昆明卷烟厂的G.D 伺服卷包机,由于外界干扰比较大,所以,为了提高整套系统的精确度,本次项目更换CPLD,CPLD 起到的作用是完成测量并且可以对编码器的信号滤波。
而速度信号的反馈信号,在伺服抗干扰闭环中有极大的作用,所以通过CPLD 采集到准确的速度信号很重要。
反馈信号传递给DSP,通过DSP 处理,从而达到抗干扰作用。
本次通过CPLD 和DSP 协同作用,从而提高G.D 伺服的抗干扰能力,使整套伺服系统的精确度得到提高。
基于CPLD的PWM控制电路设计-介绍了利用硬件描述语言VHDL设计的一种基于CPLD的PWM操纵电路,该PWM操纵电路具有PWM开关频率可调,同侧2路信号互锁、延时时刻可调、接口简单等特点,可应用于现代直流伺服系统。
在直流伺服操纵系统中,通过专用集成芯片或中小规模的数字集成电路构成的传统PWM操纵电路往往存在电路设计复杂,体积大,抗干扰能力差以及设计困难、设计周期长等缺点因此PWM操纵电路的模块化、集成化已成为进展趋势.它不仅能够使系统体积减小、重量减轻且功耗降低,同时可使系统的可靠性大大提升.随着电子技术的进展,专门是专用集成电路(AS IC)设计技术的日趋完善,数字化的电子自动化设计(EDA)工具给电子设计带来了庞大变革,专门是硬件描述语言的显现,解决了传统电路原理图设计系统工程的诸多不便.针对以上情形,本文给出一种基于复杂可编程逻辑器件(CPLD)的PWM操纵电路设计和它的仿真波形.1 PWM操纵电路差不多原理为了实现直流伺服系统的H型单极模式同频PWM可逆操纵,一样需要产生四路驱动信号来实现电机的正反转切换操纵.当PWM操纵电路工作时,其中H桥一侧的两路驱动信号的占空比相同但相位相反,同时随操纵信号改变并具有互锁功能;而另一侧上臂为低电平,下臂为高电平.另外,为防止桥路同侧对管的导通,还应当配有延时电路.设计的整体模块见图1所示.其中,d[7:0]矢量用于为微机提供调剂占空比的操纵信号,cs为微机提供操纵电机正反转的操纵信号,clk为本地晶振频率,qout[3:0]矢量为四路信号输出.其内部原理图如图2所示.该设计可得到脉冲周期固定(用软件设置分频器I9可改变PWM开关频率,但一旦设置完毕,则其脉冲周期将固定)、占空比决定于操纵信号、辨论力为1/256的PWM信号.I8模块为脉宽锁存器,可实现对来自微机的操纵信号d[7:0]的锁存,d[7:0]的向量值用于决定PWM信号的占空比.clk本地晶振在经I9分频模块分频后可为PWM操纵电路中I12计数器模块和I11延时模块提供内部时钟.I12计数器在每个脉冲的上升沿到来时加1,当计数器的数值为00H或由0FFH溢出时,它将跳到00H时,cao输出高电平至I7触发器模块的置位端,I7模块输出一直保持高电平.当I8锁存器的值与I12计数器中的计数值相同时,信号将通过I13比较器模块比较并输出高电平至I7模块的复位端,以使I7模块输出低电平.当计数器再次溢出时,又重复上述过程.I7为RS触发器,通过它可得到两路相位相反的脉宽调制波,并可实现互锁.I11为延时模块,可防止桥路同侧对管的导通,I10模块为脉冲分配电路,用于输出四路满足设计要求的信号.CS为I10模块的操纵信号,用于操纵电机的正反转.2 电路设计本设计采纳的是Lattice半导体公司推出的is-plever开发平台,该开发平台定位于复杂设计的简单工具.它采纳简明的设计流程并完整地集成了Leo nardo Spectrum的VHDL综合工具和ispVMTM系统,因此,无须第三方设计工具便可完成整个设计流程.在原理设计方面,本设计采纳自顶向下、层次化、模块化的设计思想,这种设计思想的优点是符合人们先抽象后具体,先整体后局部的思维适应.其设计出的模块修改方便,不阻碍其它模块,且可重复使用,利用率高.本文仅就原理图中的I12计数器模块和I11延迟模块进行讨论.计数器模块的VHDL程序设计如下:entity counter isport(clk: in std logic;Q : out std logic vector(7 downto 0);cao: out std_logic);end counter;architecture a_counter of counter issignal Qs: std_logic_vector(7 downto 0);signal reset: std_logic;signal caolock: std_logic;beginprocess(clk,reset)beginif(reset=‘1')thenQs<=“00000000”;elsif clk'event and clk=‘1' thenQs<=Qs+‘1';end if;end process;reset<=‘1' when Qs=255 else‘0';caolock<=‘1' when Qs=0 else‘0';Q<=Qs;cao<=reset or caolock;end a_counter;在原理图中,延迟模块必不可少,其功能是对PWM波形的上升沿进行延时,而不阻碍下降沿,从而确保桥路同侧可不能发生短路.其模块的VHDL程序如下:entity delay isport(clk: in std_logic;input: in std_logic_vector(1 downto 0);output:out std_logic_vector(1 downto 0)end delay;architecture a_delay of delay issignal Q1,Q2,Q3,Q4: std_logic;beginprocess(clk)beginif clk'event and clk=‘1' thenQ3<=Q2;Q2<=Q1;Q1<=input(1);end if;end process;Q4<=not Q3;output(1)<=input(1)and Q3;output(0)<=input(0)and Q4;end a_delay;图3为原理图中的若干信号的波形仿真图.3 终止语采纳可编程逻辑器件和硬件描述语言,同时利用其供应商提供的开发工具可大大缩短数字系统的设计时刻,节约新产品的开发成本,另外,还具有设计灵活,集成度高,可靠性好,抗干能力强等特点.本文设计的PWM操纵电路用于某光测设备的传动装置时,取得了良好的成效.。
一种应用CPLD技术设计的FIR数字滤波器介绍了一种利用ALTERA公司的复杂可编程逻辑器件(CPLD)快速卷积法实现数字滤波器的设计标签:CPLD 数字滤波器信号处理当前,无论在军事还是民用方面,对于数字信号处理的实时性、快速性的要求越来越高。
可编程逻辑器件(PLD)由于在速度和集成度的飞速提高,越来越多的电子系统采用可编程逻辑器件来实现数字滤波。
随着设计方法的不断完善,不仅需要简化设计过程,而且,越来越需要降低系统体积和成本,提高系统的可靠性,缩短研制周期,于是希望有一种由很多厂家都可提供的,具有一定连线的结构和已封装好的全功能的标准电路。
Altera 公司的FLEX10K是工业界第一个嵌入式的PLD,具有高密度、低成本、低功率等优点。
器件的主要结构特点是除主要的逻辑阵列块(LAB)之外,首次采用了嵌入阵列块(EAB)。
每个阵列块包含8个逻辑单元(LE)和一个局部互连。
一个LE又由四输入查找表(LUT)、一个可编程寄存器和专用的载运和级联功能的信号通道所组成。
在FLEX10K器件中,把每一组逻辑单元(8个LE)组成一个逻辑阵列块(LAB),所有的逻辑阵列块(LAB)排成行和列。
在一行里还包含一个单一的EAB。
多个LAB和多个EAB采用快速通道互相连接。
嵌入式阵列块(EAB)是FLEX10K系列器件在结构设计上的一个重要部件。
它是一个输入端口和输出端口都带有寄存器的一种灵活的RAM块,嵌入阵列块(EAB)组成的规模和灵活性对比较多的内存是适宜的。
功能包括乘法器、向量的标准和误差矫正电路等。
在应用中,这些功能又能够联合完成数字滤波器和微控制器的功能。
采用可编程的带有只读平台的嵌入阵列块(EAB)在配置期间可执行逻辑功能并建立一个大的查找表(LUT),在这个查找表里用查找的结果执行组合逻辑函数,而不用计算它们。
显然,用这种组合逻辑函数执行比通常在逻辑里应用算法执行要快,而且专用EAB容易应用,并且快速提供可能预测的延迟。
基于CPLD的开关电容组式跟踪滤波器设计与实现彭永棒;孙奉娄;蓝加平;陈锟【摘要】针对大动态范围高灵敏度短波接收机射频前端信号处理需要,提出并实现了一种基于CPLD的开关电容组式跟踪滤波器与变容二极管电调谐滤波器串联方案,并对该滤波器性能进行了评估.实验结果表明,该滤波器可以工作于1MHz~30 MHz频段,带宽易调,设计简单,且具有稳定的带宽和很高的温度稳定性.实测的滤波器3 dB带宽为300 kHz~700 kHz,Q值为11.8 dB~25 dB,通带增益为2.5~4.5,能很好地满足接收机设计指标.【期刊名称】《微型机与应用》【年(卷),期】2013(032)003【总页数】4页(P19-22)【关键词】CPLD;开关式电容;跟踪滤波;短波【作者】彭永棒;孙奉娄;蓝加平;陈锟【作者单位】中南民族大学电子信息工程学院,湖北武汉430074;中南民族大学电子信息工程学院,湖北武汉430074;中南民族大学电子信息工程学院,湖北武汉430074;中南民族大学电子信息工程学院,湖北武汉430074【正文语种】中文【中图分类】TN911.72跟踪滤波器[1]指滤波器的中心频率能自动地跟随信号频率变化,从而达到在强噪声干扰中提取有用信号的带通滤波器。
它主要应用于振动监测和控制、扫频或跳频雷达接收机、数字电视接收机、水声信号处理等领域[2-4]。
目前,跟踪滤波器的设计主要有开关电容跟踪滤波器[5-6]、自适应跟踪滤波器[7]和变容二极管电调谐 LC谐振跟踪滤波器[8]等。
开关电容滤波器主要工作于音频范围内,从几赫兹到数百千赫兹。
自适应跟踪滤波算法复杂,多用数字信号处理算法,不适合于模拟信号的跟踪滤波,且信号处理速度慢,不能满足实时性要求。
变容二极管电调谐LC谐振跟踪滤波器工作频率高,速度快,电路由纯硬件搭建,主要缺点是受温度影响很大,中心频率可能出现漂移,因此不宜作窄带滤波,可用于对环境温度要求不高的宽带滤波。
基于cpld的简易数字频率计的设计
基于CPLD的简易数字频率计的设计如下:
首先,将CPLD作为主控芯片,实现信号的采集、处理和控制。
通过输入的信号,经过滤波器去除噪音和干扰,然后使用计数器模块对输入信号的频率进行测量。
计数器模块将信号的周期转换成相应的脉冲数,再通过单片机进行数据处理,计算出信号的频率。
其次,利用单片机进行数据处理和显示。
单片机通过接收计数器模块的脉冲数,根据测量公式计算出信号的频率,并将结果显示在LCD屏幕上。
同时,单片机还负责控制CPLD的工作流程,实现整个系统的协调工作。
最后,通过仿真和测试验证设计的正确性和可行性。
测试结果表明,该数字频率计具有测量精度高、抗干扰能力强、稳定性好等优点,可以广泛应用于各种需要测量频率的场合。
基于CPLD的简易数字频率计的设计方法包括硬件设计和软件设计两部分。
硬件设计主要是利用CPLD和单片机等芯片进行电路设计和搭建;软件设计主要是利用CPLD编程语言和单片机编程语言进行程序编写和调试。
在实际应用中,需要根据具体需求和条件进行选择和调整。
基于CPLD的数字滤波抗干扰电路设计
技术分类:可编程器件 | 2007-06-10
来源:电子元器件应用 | 作者:余江韩峰
引言
红外密集度光电立靶测试系统是一种用于测量低伸弹道武器射击密集度的新型的测试系统,它既可用于金属弹丸的测试,又可测试非金属弹丸,具有反映灵敏、精度高而稳定、操作简单、容易维护等优点,已被许多靶场投入使用。
光电靶的基本原理是:当光幕内的光通量发生足够大的变化时,光电传感器会响应这种变化而产生电信号。
这就是说,一些非弹丸物体在穿过光幕时也会使光幕内的光通量发生变化以使光电传感器产生电信号。
从原理上讲。
这种现象并非异常,而从测试来讲,则属于干扰。
在具体靶场测试中,当干扰严重时,可能会导致测试无法进行,从而给测试工作带来困难。
因此,如何排除干扰,保证系统的正常运行,是一个必须解决的问题。
红外密集度光电立靶测试系统在使用中会受到各种干扰,其中影响最大的有三种:一是“蚊虫”干扰,即指蚊虫等低速物体飞过红外光幕时引起的误触发现象;二是“冲击波”干扰,指在亚音速弹丸测试中,由于音速高于弹速使得声波先于弹丸到达光幕而引起的误触发现象:三是一些伴随弹丸穿过光幕的细小物体和外界光线的变化所引起光幕内光通量的变化而产生的干扰信号,但这种信号幅值一般都较小。
1 理论分析
光电靶在工作时,光电传感器会响应光幕内光通量的变化,并将其转变为微弱的电信号,经放大后进入电压比较器。
当其幅值高于预定基准时,则电压比较器翻转,以产生触发脉冲。
由于随弹丸穿过光幕的细小物体和外界光线变化产生的信号幅值比较小,因此,通过对电压比较器设置合适的比较门限便可滤除这种信号。
在靶厂实际测试中,这种干扰信号幅值一般小于0.8 V,这样,只要在电路中将电压比较器的门限电平设为0.8 V便可消除这种干扰。
根据光电靶的工作原理,穿过光幕的飞行物体速度不同,遮挡光幕的时间就不同,电路中比较器所产生的方波脉冲的宽度也就不同。
与弹丸相比,蚊虫的飞行速度要低得多,当蚊虫穿过光幕时,产生的方波脉冲的宽度要比弹丸产生的宽;而在亚音速弹测试中,弹丸速度低于声速,这样,由声波引起的脉冲宽度将小于弹丸产生的方波脉冲宽度。
因此,从原理上说,在比较器后利用滤波电路来滤除干扰信号是有可能的。
2 利用CPLD实现滤波及抗干扰
本文所给出的电路的主要功能是抗冲击波和蚊虫干扰,并把有效弹丸信号变成脉冲宽度为50μs的信号,然后输出到下级电路进行处理。
设计中采用的芯片是MAX7000系列的EPM7128SLC84-15芯片。
下面就如何实现滤波和抗干扰作以详细介绍。
2.1 电路原理
物体穿过光幕时所产生的方波脉冲宽度可用下式计算:
式中,ι为飞行物的长度,d为光幕面的厚度,υ为飞行物的速度。
若冲击波以声速计算(υ为340 m/s),d=3 mm,则冲击波穿过光幕所产生的方波信号脉冲宽度约为8.8μs;若υ为330 m/s,则t1约等于9.1μs。
若蚊虫等飞行物飞行速度υ为20 m/s。
物体长度ι大约为10 mm,则蚊虫飞过光幕产生的方波信号脉冲宽度t2约为650μs。
一般情况下,红外密集度立靶测试系统所测试的弹丸弹速范围为200~1200 m/s,主要是5.8 mm、7.62mm、9 mm三种弹,冲击波的影响主要产生于对9x19 mm的手枪弹的测量,该弹丸弹速约为320m /s。
根据弹速和弹长可知,弹丸穿过光幕产生的方波信号脉冲宽度t3为37.5μs。
根据靶场实际测试情况,弹丸穿过光幕时产生的方波信号脉冲宽度基本都小于150μs 且大于10μs,故可认为,脉冲宽度大于150μs和小于l0μs的信号为无效信号,应进行剔除,这样就可将蚊虫干扰信号和冲击波信号滤除,从而达到抗干扰的目的。
2.2 抗冲击波电路
图1所示是该系统中的冲击波滤除电路。
图2是其仿真波形。
图中,当PULSE_IN端出现一个正跳变时,上跳沿使得触发器Dl的输出端产生一个高电平信号,以启动计数器开始计数。
计数器计满后便在输出端产生一个正跳变,该上升沿又使触发器D3的输出端产生一个高电平信号。
将这两个信号相与便可得到输出信号PULSE_OUT1。
而PULSE_IN的下降沿到来时,系统又会将计数器和三个触发器同时清零,以等待下一个信号到来。
由仿真波形图可知,当PULSE_IN的脉宽小于设定计时宽度时,便可认为是干扰信号并使PULSE_OUT1为低;而当PULSE_IN的脉宽大于设定计时宽度且仍为高时,则认为信号有效。
PULSE_OUT1为高时,它在PULSE_IN的下降沿变为低电平,以等待下一信号到来。
标签:CPLD
消抖与滤波
消抖与滤波
在同一块电路板上,由于信号线的走线过长而产生的高频毛刺我们可以通过在接近输入端串联一个1 00欧左右的电阻来滤除。
但是对于板外信号,或者板内其他干扰造成较大的抖动时只好采用积分电路来滤波,即串一个电阻还要并一个电容接地。
同样在VHDL中我们可以采用类似的办法,对于小于触发器建立时间的毛刺可以用时钟打一下实现滤波。
但对于开关或按键抖动等较大的干扰,我们可以采用延时比较法或积分法,或者二者并用。
比较法:这个方法很好理解,就是若干个时钟周期读取的数据相同时我们认为收到了一个稳定的数据,否则认为是过渡态。
即采用若干位的移位寄存器,当寄存器是全'1'或全'0'时才开始读数。
这种方法的缺点是,当干扰脉冲较宽时我们必须等比地扩大移位寄存器的比特,消耗较大资源。
积分法:用一个增减计数器,当输入信号为'1'时计数器递增计数直到计数器全'1'停止计数、否则计数器递减计数直到全'0'停止计数。
那么计数器的MSB即为输入信号的去抖信号。
你也可以用时钟再加上一个速度较慢的使能来对输入信号计数。
显然计数器的位数要求与要去抖的抖动脉冲宽度的对数关系。
当然也可以二者结合,前端用几个比特的移位寄存器实现比较,比较结果作为计数使能来控制接下来的增减计数器的计数。
系统分类: CPLD/FPGA | 用户分类: 一定要狠搞CPLD和FPGA | 来源: 原创 | 【推荐给朋友】 | 【添加到收藏夹】。