电子秒表实验报告材料
- 格式:doc
- 大小:6.17 MB
- 文档页数:32
电子技术课程设计报告设计题目:电子秒表院(部):物理与电子信息学院专业班级:电子信息工程学生姓名:学号:指导教师:摘要秒表应用于我们生活、工作、运动等需要精确计时的方面。
它由刚开始的机械式秒表发展到今天所常用的数字式秒表。
秒表的计时精度越来越高,功能越来越多,构造也日益复杂。
本次数字电路课程设计的数字式秒表的要求为:显示分辨率为1s/100,外接系统时钟频率为100KHz;计时最长时间为60min,五位显示器,显示时间最长为59m59.99s;系统设置启/停键和复位键。
复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。
针对上述设计要求,先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。
其次安装并学习了数字电路设计中所常用的Multisim 仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。
关键字:555定时器十进制计数器六进制计数器多谐振荡器目录1.选题与需求分析 (1)1.1设计任务 (1)1.2 设计任务 (1)1.3设计构思 (1)1.4设计软件 (2)2.电子秒表电路分析 (3)2.1总体分析 (3)2.2电路工作总体框图 (3)3.各部分电路设计 (4)3.1启动与停止电路 (4)3.2时钟脉冲发生和控制信号 (4)3.3 设计十进制加法计数器 (6)3.4 设计六进制加法计数器 (7)3.5 清零电路设计 (8)3.7 总体电路图: (10)4 结束语与心得体会 (12)1.选题与需求分析1.1设计任务电子秒表在生活中可广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合.测定短时间间隔的仪表。
有机械秒表和电子秒表两类。
机械秒表与机械手表相仿,但具有制动装置,可精确至百分之一秒;电子秒表用微型电池作能源,电子元件测量显示,可精确至千分之一秒,广泛应用于科学研究、体育运动及国防等方面在当今非常注重工作效率的社会环境中。
电⼦科技⼤学电⼦技术综合实验秒表实验报告现代电⼦技术综合实验电⼦秒表设计学⽣姓名:xxx学号:xxxxxxxxx指导⽼师:刘曦学院:xxxxxxxx提交时间:2015年5⽉摘要本⽂介绍了使⽤VHDL开发FPGA的⼀般流程,重点介绍了电⼦秒表的设计。
该设计以VHDL作为硬件开发语⾔,以ISE作为软件开发平台,准确地实现了秒表计数、清零、暂停等功能,并使⽤ModelSim仿真软件对VHDL程序实现了仿真,完成了综合布局布线,最终将程序下载到芯⽚Spartan-3A,测试结果良好。
关键字:FPGA VHDL ISE ModelSim 电⼦秒表⽬录第⼀章引⾔————————————————————————————4 第⼆章基于FPGA的VHDL设计流程——————————————————42.1 时间的概念及计时⽅法————————————————————42.2 VHDL语⾔简介———————————————————————42.2.1 VHDL语⾔特点————————————————————-42.2.2 VHDL语⾔优势————————————————————-62.3 FPGA简介—————————————————————————62.3.1 FPGA的主要特点———————————————————-62.3.2 FPGA的开发流程————————————————————6 第三章电⼦秒表的软件开发环境———————————————————63.1 ModelSim简介————————————————————————73.1.1 ModelSim的特点————————————————————-73.2 ISE简介——————————————————————————-7 第四章电⼦秒表的设计与实现————————————————————-74.1 实验任务——————————————————————————94.2 实验条件——————————————————————————94.3 系统需求和解决⽅案—————————————————————94.4 各模块的实现————————————————————————94.4.1 分频器————————————————————————104.4.1.1 分频得到1KHz的时钟信号—————————————104.4.1.2 分频得到100Hz的时钟信号————————————104.4.2 输⼊控制电路—————————————————————114.4.2.1 防抖电路————————————————————114.4.2.2 控制电路————————————————————114.4.3 计数模块———————————————————————124.4.3.1 ⼗进制计数器——————————————————124.4.3.2 六进制计数器——————————————————134.4.4 锁存器————————————————————————134.4.5 显⽰模块———————————————————————134.4.5.1 扫描器—————————————————————134.4.5.2 数据选择器———————————————————144.4.5.3 七段译码器———————————————————144.5 分配引脚和下载实现————————————————————-144.6 实验结果及仿真——————————————————————-15 第五章结论———————————————————————————155.1 实验结论—————————————————————————155.2 ⼼得体会—————————————————————————15参考⽂献———————————————————————————16 致谢—————————————————————————————16 附录————————————————————————————17第⼀章引⾔随着现代电⼦科技的发展,各种新型的电⼦产品层出不穷,⽽⾼精度的电⼦秒表作为电⼦产品的⼀部分,在⼈们的⽇常⽣产、⽣活中发挥着极其重要的作⽤。
实验八秒表一、实验目的:1、了解数字秒表的工作原理。
2、进一步熟悉用VHDL语言编写驱动七段数码管的代码。
3、掌握VHDL编写中的一些小技巧。
二、实验要求:实现数字秒表功能,要求有分,秒,1%秒显示,该秒表能够随时控制启/停,清零重新计时功能。
三、实验原理秒表的工作原理与多功能数字电子钟大致相同,唯一不同的是,由于秒表的分辨率为0.01秒。
所以整个秒表的工作时钟是在100HZ的时钟信号下完成的。
假设该秒表的应用场合小于1小时,秒表的显示格式为mm~~ss~~xx(mm表示分钟:0~59;ss表示秒:0~~59;xx表示百分之一秒:0~~99)。
四、实验步骤1、用VHDL语言编写出秒表电路程序,通过QuartusII 进行编辑、编译、综合、适配、仿真测试。
给出其所有信号的时序仿真波形。
2、按实验要求锁定管脚,重新综合。
3、在EDA6000软件中建立实验模式。
4、下载设计文件,硬件验证秒表工作性能。
五、实验结果1、调试的过程记录在仿真图正确后开始用EDA6000进行检验,所有的管脚都连接好后,通入100Hz的脉冲,秒表开始工作2、实验结果经过调试得到了正常工作的秒表,每一个环节的跳转过程都是正常的最终的波形图:3、实验程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity stopwatch isport(clk,rst,en:in std_logic;minh,minl,sech,secl,msh,msl:out std_logic_vector(3 downto 0)); end entity;architecture behav of stopwatch issignal minhi,minli,sechi,secli,mshi,msli:std_logic_vector(3 downto 0); signal clk1,clk2:std_logic;beginprocess(clk,en,rst)beginif rst='1' then mshi<="0000";msli<="0000";elsif clk'event and clk='1' thenif en='1' thenif (mshi="1001" and msli="1001") thenmshi<="0000";msli<="0000";clk1<='1';elsif msli="1001" thenmsli<="0000"; mshi<=mshi+1;else msli<=msli+1;clk1<='0';end if;end if;end if;end process;process(clk1,en,rst)beginif rst='1' then sechi<="0000";secli<="0000";elsif clk1'event and clk1='1' thenif en='1' thenif (sechi="0101" and secli="1001") thensechi<="0000";secli<="0000";clk2<='1';elsif secli="1001" thensecli<="0000"; sechi<=sechi+1;else secli<=secli+1;clk2<='0';end if;end if;end if;end process;process(clk2,en,rst)beginif rst='1' then minhi<="0000";minli<="0000";elsif clk2'event and clk2='1' thenif en='1' thenif (minhi="0101" and minli="1001") thenminhi<="0000";minli<="0000";elsif minli="1001" thenminli<="0000"; minhi<=minhi+1;else minli<=minli+1;end if;end if;end if;end process;msh<=mshi;msl<=msli;sech<=sechi;secl<=secli;minh<=minhi;minl<=minli; end behav;。
《EDA课程设计——秒表》题目数字秒表学院信息学院专业电子信息工程班级 11电子A姓名朱彦杰学号指导教师凌朝东课题名称秒表完成时间11.28指导教师凌朝东学生姓名朱彦杰班级11电子A总体设计要求和技术要点设计要求:5. 秒表,难度系数0.9要求:计时范围为0∼59 分59 秒,精度为百分之一秒;能同时显示分秒信息(LED 数码管)。
技术要点:1.利用VHDL语言设计基于计算机电路中时钟脉冲原理的数字秒表。
该秒表计时范围为0秒~59分59.99秒,显示的最长时间为59分59秒,计时精度为10毫秒以内,具有复位功能。
2.秒表有共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为BCD码输出。
一、系统组成模块连接图和系统框图- 3 -二、模块器件及其程序1、分频模块及其程序本模块实现脉冲分频,本实验使用的EP2C5T144C8的频率计进行50MHz 分频产生100HZ 的脉冲。
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpin ISPORT ( CLK: IN STD_LOGIC; OUTCLK: out std_logic ); END fenpin;ARCHITECTURE behav OF fenpin IS BEGINPROCESS( CLK )variable cnt:integer range 0 to ; BEGINIF CLK'EVENT AND CLK = '1' THEN if cnt= then cnt:=0; outclk<='1'; elsecnt:=cnt+1;分频器十进制计数器 时钟频率十进制计数器 十进制计数器六进制计数器十进制计数器 六进制计数器输出到LED开始清零outclk<='0';end if;END IF;END PROCESS;END behav;2、十进制程序产生99毫秒、秒的低位、分的低位的功能。
电子秒表实验报告电子秒表实验报告引言:电子秒表是一种常见的计时工具,广泛应用于实验室、体育比赛和日常生活中。
本实验旨在通过对电子秒表的使用和测量,深入了解其工作原理和准确性。
实验目的:1. 理解电子秒表的工作原理;2. 掌握正确使用电子秒表的方法;3. 比较电子秒表与传统秒表的准确性。
实验材料和方法:1. 实验材料:电子秒表、传统秒表、计时器、待测物体;2. 实验方法:a. 将电子秒表和传统秒表校准至同一起点;b. 使用电子秒表和传统秒表分别计时待测物体的时间;c. 重复多次实验,记录数据并计算平均值;d. 比较电子秒表和传统秒表的准确性。
实验结果与讨论:通过多次实验,我们得到了以下数据:实验次数 | 电子秒表计时(s) | 传统秒表计时(s)---------------------------------------1 | 10.23 | 10.202 | 10.21 | 10.183 | 10.25 | 10.224 | 10.24 | 10.195 | 10.22 | 10.21通过计算平均值,我们可以得到电子秒表的平均计时为10.23秒,传统秒表的平均计时为10.20秒。
可以看出,两者的计时结果非常接近,差距在0.03秒以内。
这个结果表明,电子秒表在准确性方面与传统秒表相当。
其准确性主要依赖于内部的计时装置,通常采用晶体振荡器,其频率非常稳定。
而传统秒表则依赖于人工操作,容易受到人为因素的影响,如反应时间和手动操作的误差。
此外,电子秒表还具有其他优点。
首先,它可以提供更精确的计时结果,小数点后几位的精度可以满足实验的要求。
其次,电子秒表通常具有计时、计数、暂停和复位等功能,更加灵活方便。
最后,电子秒表还可以记录多次计时结果,并进行平均值计算,提高数据的可靠性。
然而,电子秒表也存在一些局限性。
首先,它依赖于电池供电,一旦电池耗尽,计时功能将无法使用。
其次,对于某些特殊实验,如高温、高压环境下的计时,电子秒表可能无法正常工作。
电子技术设计性实训报告学号:211002146姓名:邱富烨同组人:夏文彬班级:03班指导老师:林雪健日期:2012.09.07目录一.实训目的---------------------------------------------------3二.设计功能要求---------------------------------------------3 三.电路设计---------------------------------------------------4 (一)电路框图--------------------------------------------4 (二)单元电路分析-------------------------------------4四.设计总图及其工作原理---------------------------------5 (一)工作原理--------------------------------------------5 (二)元件清单--------------------------------------------5五.电路调试--------------------------------------------------6(一) 调试过程--------------------------------------------6(二)故障分析与排除-----------------------------------7六.实训心得---------------------------------------------------8一. 实验目的1. 对芯片74LS160芯片以及555的功能的更形象的认知。
2.增强使用EWB软件的能力。
3.进一步提高独立分析问题和解决问题的能力。
4.掌握数字系统的分析和设计方法。
5.对数字集成电路的综合应用有进一步的认识和理解。
电子秒表设计实验报告淮阴工学院《数字电子技术》课程实验期末考核2014-2015学年第2学期实验名称: 电子秒表电路的设计级:学号:姓名:学院:电子与电气工程学院专业:自动化别:自动化指导教《数字电子技术》实验指导教师班级:电气3101 姓名:奚倩学号:02 课题:红外倒车防撞报警器师:组成绩:2015年07月电子秒表电路的设计一、实验目的1 .学习数字电路中基本RS 触发器、单稳态触发器、时钟发生器及计数、 译码显示等单元电路的综合应用。
2. 学习电子秒表的调试方法。
二、实验原理图11 - 1为电子秒表的电原理图。
按功能分成四个单元电路进行分析接译码显示器_ A ____________图11-1电子秒表原理图+5V sQ D Q C Q B Q C CP2Tcc 74LS90(3)CPiQ D Q C Q B Q C C?2V CC 74LS90(2)CP I Rfi(l)RM2)S9tl)S*2〕DioRoCi)Ro(21S3(llS3(2)Dj-J ^Vcc74LS90(l)CP?M aRo(iUto(2)S9(l)S9(2)DL ~~-― ------- --- =2 3 e 7 10BC K工:F4T*p敢9IQQ1.基本RS触发器图11 - 1中单元I为用集成与非门构成的基本RS触发器。
属低电平直接触发的触发器,有直接置位、复位的功能它的一路输出。
作为单稳态触发器的输入,另一路输出Q作为与非门5的输入控制信号。
按动按钮开关& (接地),则门1输出=1 ;门2输出Q = 0 , &复位后Q、◎状态保持不变。
再按动按钮开关K1 ,则Q由0变为1 ,门5开启, 为计数器启动作好准备。
由1变0 ,送出负脉冲,启动单稳态触发器工作。
基本RS触发器在电子秒表中的职能是启动和停止秒表的工作。
2.时钟发生器图11 - 1中单元川为用555定时器构成的多谐振荡器,是一种性能较好+5¥图3的时钟源调节电位器R W ,使在输出端3获得频率为50HZ 的矩形波信号,当基本RS 触发器Q 二1时,门5开启,此时50HZ 脉冲信号通过门5作为计数脉冲加于 计数器①的计数输入端 CP2。
EDA课程设计报告——基于VHDL语言的秒表设计课程名称:EDA技术院系:地球物理及信息工程学院专业班级:电子信息工程08级2班学生姓名:学号:指导老师:完成时间:2011年5月18日秒表设计一. 设计要求利用EDA实验箱,通过VHDL语言进行编程,设计一个简单的秒表,并用EDA实验箱进行实现,具体设计要求如下:(1)有使能、暂停、继续、秒表计数功能;(2)带有异步复位功能;(3)显示分、秒信息,若需要,显示秒表信息。
二. 设计的作用、目的在本次设计中,可以简单的了解EDA技术的应用以及VHDL语言编写的方法。
通过设计一个秒表,可以掌握用VHDL设计多位加法计数器的方法,尤其是调整时钟使得每过一秒就改变一个数,达到设计的要求。
三. 设计的具体实现1.系统概述本次系统设计主要分三个部分,一是通过VHDL语言设计一个八位的加法计数器,来实现秒表的计时功能;二是通过调整时钟使秒表计数为每秒改变一个数;三是加入一些控制按键,实现使能、暂停、继续等功能。
2.程序具体设计秒表显示共有6位,两位显示分,两位显示秒,十分秒和百分秒各一位。
设计时使用一个计数器,随着时钟上升沿的到来循环计数,每计数一次,百分秒位加一,通过百分秒位满十进位来控制十分位的计数,十分位满十进位,依次类推,实现秒表计数。
为实现秒位的计时精确,百秒位必须以0.01秒的时间间隔计数,即时钟的频率是100Hz。
为此,本设计采用3MHz的时钟频率通过分频得到100Hz的时钟频率,再送给控制时钟以得到比较精确的CLK信号。
具体程序设计见附录。
引脚定义如下:其中,时钟信号CLK为3MHz的时钟频率,分频后得到的时钟为CLK2,输出引脚CLK2和输入引脚CLK2在外部相连,实现将分频后的时钟送入。
3.调试应用MAX+plus II软件编译调试实验控制程序, 仿真运行结果如下:(1)给时钟后,实现开始功能:开始键按下(STA=‘1’)后,秒表计数开始。
(2)给时钟后,实现暂停功能:从上图可以看出暂停键按下后(POS=‘1’),输出(CQ)保持不变,直到暂停键再次按下(POS=‘0’),输出才继续计数,从而实现了暂停的功能。
数字逻辑实验报告秒表设计[日期]MICROSOFT[公司地址]一、 实验目的二、实验内容及要求实验要求设计并实现一个数字秒表。
该秒表要实现以下功能:1、该秒表可以产生稳定的脉冲信号作为秒表的计时基准。
该秒表可以实现暂停、停止。
2、该秒表可实现暂停、恢复计数和清零功能。
3、改秒表具有十进制的数字显示功能。
三、实验电路总体结构设计根据设计要求,可以构造出数字秒表的结构框图,如图3-1所示。
该数字秒表的工作原理是:由秒脉冲发生电路产生稳定的秒脉冲信号,经过控制开关输出到计数器中。
秒计数器计满10后向秒十计数器产生进位脉冲。
计数器的输出经显示译码器译码后送显示器显示。
图3-1四、实验电路详细设计1、秒脉冲发生电路设计由于5G555具有电源范围宽、定时精度高、使用方法灵活等特点,我们采用由5G555构成的多谐振荡器产生秒脉冲信号。
5G555功能表如表3-2所示。
表3-2 5G555功能表 输入 输出TH TR RD OUT 放电三极管 d d 0 0 导通 >2/3Vcc >1/3Vcc 1 0 导通 >2/3Vcc <1/3Vcc 1 1 截止 <2/3Vcc >1/3Vcc 1 不变 不变计数器译码及显示电路显示 显示译码 译码 秒十计数秒计数 秒脉冲发生电路振荡器<2/3Vcc <1/3Vcc 1 1 截止由5G555构成的多谐振荡器如图3-1所示。
图3-1由图4-1可知,电路由两个外加电阻和一个电容组成。
5G555的D 端经R1接至电源VCC ,构成一个反相器。
电阻R2和电容C 构成积分电路。
积分电路的电容电压Vc 作为电路输入至TH 和TR 。
电路工作原理如下。
由于接通电源瞬间,电容C 来不及充电,电容器两端电压Vc 为低电平,小于(1/3)Vcc ,故TH 端电压<2/3Vcc 与TR 端电压<1/3Vcc ,输出OUT 状态为1,放电三极管T 截止。
实用标准文档目录1绪论 (2)1.1课题背景 (2)1.2秒表的发展趋势 (2)1.3本课题研究容 (3)2研究方案与预期成果 (3)2.1研究方案 (3)2.2预期成果 (3)3设计任务与思想.............................................................................. 错误!未定义书签。
3.1设计任务................................................................................ 错误!未定义书签。
3.2设计目的................................................................................ 错误!未定义书签。
3.3设计总体思想............................................................................... 错误!未定义书签。
4系统硬件设计 (6)4.1系统硬件设计框图 (6)4.2 LED显示电路.............................................................................. 错误!未定义书签。
4.3时钟分频计数电路................................................................. 错误!未定义书签。
4.4秒脉冲电路............................................................................ 错误!未定义书签。
4.5 控制开关电路 ....................................................................... 错误!未定义书签。
4.6系统电路图............................................................................ 错误!未定义书签。
5系统仿真与调试.............................................................................. 错误!未定义书签。
5.1软件平台................................................................................ 错误!未定义书签。
5.2系统仿真................................................................................ 错误!未定义书签。
5.3系统软件调试......................................................................... 错误!未定义书签。
5.4仿真测试................................................................................ 错误!未定义书签。
6电路板焊接与调试......................................................................... 错误!未定义书签。
6.1焊接步骤................................................................................ 错误!未定义书签。
6.2注意事项................................................................................ 错误!未定义书签。
6.3元件清单................................................................................ 错误!未定义书签。
6.2实物图.................................................................................... 错误!未定义书签。
7 总结............................................................................................... 错误!未定义书签。
电子秒表的设计摘要:本次设计的电子秒表计数器利用显示电路、数码管以及外部中断电路来设计秒表。
使得电路能够实现7段数码管的动态显示。
仿真系统利用EWB来实现,简单且易于观察,在仿真中就可以观察到实际的工作状态。
利用555芯片实现秒信号脉冲本次设计的秒表作为电路脉冲源,利用基本RS触发器实现电路的暂停、复位,用三个74Sl90实现100进制。
具有从0秒0到99秒9计数功能以及暂停功能,具有一定的研究价值。
关键字:触发器,555芯片,74Ls901绪论1.1课题背景奥运男子百米飞人大战中,牙买加飞人博尔特以9秒69的成绩夺得冠军。
而博尔特冲过终点的瞬间,荧屏显示其成绩为9秒68。
相差的这个0.01秒,由电子计时系统确认。
奥运会男子100米蝶泳决赛上,美国选手菲尔普斯以50秒58的成绩惊险夺冠,距离“八金梦想”仅一步之遥。
塞尔维亚选手查维奇以50.59秒获得银牌,只比菲尔普斯慢0.01秒。
这种细微的差距,即使是现场大屏幕用经典超慢镜头回放,也无法分辨。
2004年8月28日15点15分,中国选手孟关良/文军在雅典奥运会男子500米划艇决赛中,以1分40秒278的成绩获得中国在雅典奥运会的第28金。
这是中国皮划艇项目的第一枚奥运金牌,也是中国水上项目在历届奥运会上所获得的第一枚金牌。
孟关良/文军的成绩比获得银牌的古巴选手只快了0.072秒,以至于两人在夺冠之后还不敢相信。
在现在的体育竞技比赛中,随着运动员的水平不断提高,差距也在不断缩小。
有些运动对时间精度的要求也越来越高,有时比赛冠亚军之间的差距只有几毫秒,因此就需要高精度的秒表来记录成绩。
1.2秒表的发展趋势时间是日常生活、工业、医学、环境保护、化工、石油等领域最常遇到的一个物理量。
测量时间的基本方法是使用秒表直接测量。
其中秒表的精度是人们最关心的,这就要求它的计时最小单位足够小,显示模块的灵敏度足够高。
随着人类科技文明的发展,人们对于秒表的要求在不断地提高。
秒表已不仅仅被看成一种用来计时的工具,在很多实际应用中它还需要能够实现更多其它的功能。
高精度、多功能、小体积、低功耗,是现代秒表发展的趋势。
在这种趋势下,秒表的数字化、多功能化已经成为现代秒表生产研究的主导设计方向。
1.3本课题研究容本次设计的电子秒表计数器利用显示电路、数码管以及外部中断电路来设计秒表。
使得电路能够实现7段数码管的动态显示。
仿真系统利用EWB来实现,简单且易于观察,在仿真中就可以观察到实际的工作状态。
本次设计的秒表具有从0秒0到99秒9计数功能以及暂停功能,具有一定的研究价值。
2研究方案与预期成果2.1研究方案根据课题研究容,电路主要由LED驱动显示电路,十进制计数电路,秒脉冲电路,控制开关电路组成。
基于数字电路。
传统的秒表以最为基本的数字电路来实现的。
2.2预期成果根据课题研究容,本设计的秒表要实现的功能是从00秒0到99秒9计数功能以及启动暂停功能。
3.设计任务与思想3.1设计任务a.设计一个简易秒表,该秒表由3位七段LED显示器显示,其中一位显示“ms”数字,两位显示“s”,其中一个LED显示器显示“s”的个位数字,另一个显示“s”的十位数字,其中显示分辩率为0.1 s,计时围99.9s。
b.设计秒表原理图,并对设计电路进行仿真。
写出完整、详细的课程设计报告。
C.课程设计时间:教学周(十五、十六周)。
3.2设计目的a.进一步熟悉和掌握常用数字电路元器件的应用。
b. 学习和练习在面加深理解数字电路的基本理论知识,学习基本理论在实践中综合运用的初步经验,掌握数字电路系统设计的基本方法包括板上接线的方法、技术、要注意的问题。
c. 学习数字电路实物制作、调试、测试、故障查找和排除的方法。
d. 学习仔细的做实验的习惯,积极的查找资料。
e. 提高分析和解决实际问题的能力。
f. 增强团队合作的意识,提高团队合作的能力。
3.3 设计总体思想RS 触发器作为启动和停止秒表工作的电路。
为实现电子秒表的计时与显示功能:首先,需要一准确的时钟脉冲,脉冲频率为50Hz。
在一般教学实验箱中有连续可调的时钟脉冲,而在EWB软件中可用模拟的信号发生器,为了达到进一步熟悉和应用数字电路模块,我们模拟应用了555振荡器。
而开关控制电路,用集成与非门构成的基本RS触发器。
其次,需要一时钟脉冲分频电路,以生成所需脉冲,考虑到时钟进制为十进制,输出得到秒以及秒后的一位所需的显示信号。
此电路主要由74LS90,74LS48等集成电路构成的组合电路实现。
最后,需要显示译码电路,显示电路输出结果。
本设计用的是LSD5114 LED显示器的译码器。
3.4 设计原理a.设计指标(1)数字式秒表实现简单的计时与显示,按下启动键开始清零计时,按下停止键,计时停止。
(2)具有“秒--个位”(0—9),“秒--十位”(0—9),“十分之一秒”(0 —9)数字显示,分辨率为0. 1 秒。
计时围从0 秒0 到99 秒9 。
b.电子秒表的构成如图2.2所示为电子秒表的一般构成框图。
利用555设计一个多谐振荡器,其产生的秒脉冲触发74LS90 计数,计时部分的计数器由0.1s位、s个位、s 十位三个计数器组成,最后通过74LS48 译码在数码管上显示输出。
由启动和停止电路控制启动和停止秒表。
(如下图)图1图23 电路硬件设计3.1电路设计框图根据设计方案,硬件电路由电路主要由LED驱动显示电路,十进制计数电路,秒脉冲电路,控制开关电路组成。
电路设计框图如图所示:*电路设计框图*3.2 LED显示电路LED显示电路由三个七段数码管和三个74LS48芯片组成的驱动电路组成。
图3a.七段数码管引脚图:图4数码管使用条件:a.段及小数点上加限流电阻b.使用电压:段:根据发光颜色决定;小数点:根据发光颜色决定c.使用电流:静态:总电流80mA(每段10mA);动态:平均电流4-5mA 峰值电流100mAd.数码管表面不要用手触摸,不要用手去弄引角;e.焊接温度:260度;焊接时间:5Sf.表面有保护膜的产品,可以在使用前撕下来。