第四章 Verilog HDL行为语句
- 格式:ppt
- 大小:676.50 KB
- 文档页数:20
了解VerilogHDL语法规则吗,看完这篇就知道了本节介绍Verilog HDL语法规则,包括文字规则、数据对象及运算符的使用等。
Verilog HDL文字规则1.关键词与标识符关键词是Verilog HDL中预先定义的单词,它们在程序中有特别的使用目的。
已经被用作关键词的单词不可以在程序中另作他用,见表3-1。
不同版本的Verilog HDL硬件描述语言中定义的关键词数目略有变化,Verilog 1995的关键词有97个,Verilog 2001共102个。
标识符是用户编程时给对象定义的名称,对象包括:常量、变量、模块、寄存器、端口、连线、示例和beginend块等元素。
定义标识符时应遵循如下规则:只能由26个大小写英文字母、数字和下划线组成。
标识符的第一个字符必须是英文字母或下划线。
字符中的英文字母区分大小写。
【例3-7】判断下面标识符是否合法。
2.注释与C语言一样,硬件描述语言中的注释也不会被编译。
在Verilog HDL中有两种形式的注释方式:采用/* */,多用于多行注释。
采用//,用于单行注释。
【例3-8】注释举例。
在实际使用中,很多公司的编程规范明确表明,注释行中不提倡采用第一种/**/的注释方式,不允许使用中文注释。
3.常数的表示在Verilog HDL中,常数用来表示在程序中不随意变化的量,常数分为整数、实数及字符串三大类型。
(1)整数型常数是数字电路中最常用到的类型,在Verilog HDL中有两种表示方法:简单的十进制格式,例如-50、6等。
基数格式,其表达方式一般如下:〈位宽〉是十进制数值表示的常数化成二进制时对应的宽度,〈进制符号〉用进制符号b或B(二进制)、o或O(八进制)、d或D(十进制)、h或H(十六进制)表示常数的进制格式,即二进制、八进制、十进制、十六进制这4种进制表示。
数字的位宽可以默认,如果没有定义长度,数的长度由具体机器系统决定(至少是32位)。
【例3-9】常数表示方法举例。
Verilog 是一种硬件描述语言(HDL),主要用于描述数字电路的行为和结构。
以下是一些Verilog 语言的基本语句:模块声明:module ModuleName (input wire A, input wire B, output reg C);// 模块内部代码endmodule这里input 和output 定义了模块的接口。
wire 表示信号是一个线性的,reg 表示寄存器类型的信号。
组合逻辑:always @* beginC = A & B; // 与门endalways @* 表示这是一个组合逻辑块。
& 是逻辑与操作符。
时序逻辑:always @(posedge Clock) beginif (Reset) beginC <= 1'b0;end else beginC <= A | B; // 或门endend这里posedge 表示在时钟信号上升沿触发。
<= 是非阻塞赋值,= 是阻塞赋值。
模块实例化:ModuleName U1 (.A(InA), .B(InB), .C(OutC));实例化一个模块,并连接输入输出信号。
always_ff 块:always_ff @(posedge Clock) begin// 在时钟上升沿执行的代码end这是一种常用于时序逻辑的结构,比always @(posedge ...) 更加严格。
always_comb 块:always_comb begin// 组合逻辑,无时钟敏感end在这个块内部的代码将在每次输入信号变化时执行。
if-else 语句:if (condition) begin// 条件为真时执行的代码end else begin// 条件为假时执行的代码endcase 语句:case (selector)2'b00: // 两位二进制值为00时执行的代码2'b01: // 两位二进制值为01时执行的代码2'b10: // 两位二进制值为10时执行的代码2'b11: // 两位二进制值为11时执行的代码default: // 默认执行的代码endcase这些是Verilog 中的一些基本语句,用于描述数字电路的行为和结构。
本章讲述在Verilog HDL中编写表达式的基础。
表达式由操作数和操作符组成。
表达式可以在出现数值的任何地方使用。
4.1 操作数操作数可以是以下类型中的一种:1) 常数2) 参数3) 线网4) 寄存器5) 位选择6) 部分选择7) 存储器单元8) 函数调用4.1.1 常数前面的章节已讲述了如何书写常量。
下面是一些实例。
256,7 //非定长的十进制数。
4'b10_11, 8'h0A //定长的整型常量。
'b1, 'hFBA //非定长的整数常量。
90.00006 //实数型常量。
"BOND" //串常量;每个字符作为8位ASCII值存储。
表达式中的整数值可被解释为有符号数或无符号数。
如果表达式中是十进制整数,例如,12被解释为有符号数。
如果整数是基数型整数(定长或非定长),那么该整数作为无符号数对待。
下面举例说明。
12是01100的5位向量形式(有符号)-12是10100的5位向量形式(有符号)5'b01100是十进制数12(无符号)5'b10100是十进制数20(无符号)4'd12是十进制数12(无符号)更为重要的是对基数表示或非基数表示的负整数处理方式不同。
非基数表示形式的负整数作为有符号数处理,而基数表示形式的负整数值作为无符号数。
因此-44和-6'o54 (十进制的44等于八进制的54)在下例中处理不同。
integer Cone;. . .Cone = -44/4Cone = -6'o54/ 4;注意-44和-6'o54以相同的位模式求值;但是-44作为有符号数处理,而-6'o54作为无符号数处理。
因此第一个字符中Cone的值为-11,而在第二个赋值中Cone的值为1073741813。
4.1.2 参数前一章中已对参数作了介绍。
参数类似于常量,并且使用参数声明进行说明。
下面是参数说明实例。
VerilogHDL常⽤综合语法 前⾯已经记录了⼀些组成Verilog的基本组成,可以⽤这些基本组成来构成表达式。
这⼀节,就来记录⼀下把这些表达式构成⼀个⽂件的各种⾏为描述语句。
①这⾥⽤Verilog基本要素进⾏的⾏为描述主要是针对综合来的,也就是可以设计出实际电路来的(⾏为描述语句有两⼤⼦集,⼀个是⾯向综合,⼀个是⾯向仿真)。
②⾏为描述语句⼀般指放在always语句中。
内容提纲如下所⽰: ·触发事件控制 ·条件语句(if与case语句) ·循环语句 ·任务和函数 ·编译预处理⼀、触发事件控制 ①电平敏感事件是指指定信号的电平发⽣变化时发⽣指定的⾏为。
②边沿触发事件(信号跳变沿)是指指定信号的边沿信号跳变时发⽣指定的⾏为,分为信号的上升沿(x→1或者z→1或者0→1)和下降沿x→0或者z→0或者1→0)。
③信号跳变沿触发电路对信号的某⼀跳变沿敏感名字⼀个时钟周期内,只有⼀个上升沿和⼀个下降沿,因此计算结果在⼀个周期内保持不变,⽽电平触发电路则可能会引起数据在⼀个时钟周期内变化⼀次或多次。
其他敏感列表的事项请查看这篇博⽂:。
⼆、条件语句 Verilog的条件语句包括if语句和case语句。
(1)if语句 ①if语句中的条件判断表达式(括号中的那个)⼀般为逻辑表达式或者关系表达式或者就⼀个变量。
如果表达式的值是0、X或者Z,则全部按照“假”处理;若为1,则按照“真”处理。
②在应⽤中,else if 分⽀的语句数⽬由实际情况决定;else分⽀可以省略,但在描述组合逻辑中,会综合得到锁存器。
(2)case语句 ①case语句,case语句是⼀个多路条件分⽀的形式,常⽤于多路译码、状态机以及微处理器的指令译码等场合,有case 分⽀、casez分⽀、casex分⽀这三种形式。
②case语句⾸先对条件表达式求值,然后同时并⾏对各分⽀项求值并进⾏⽐较;当case语句跳转到某⼀分⽀后,控制指针将转移到endcase。
•概述•Verilog HDL 的基本结构•运算符•语句•Verilog HDL模型级别•数据类型及常量、变量•语句的顺序执行与并行执行第8章Verilog HDL§8.1概述一、什么是Verilog HDL?Verilog HDL是一种应用广泛的硬件描述性语言,是硬件设计人员和电子设计自动化(EDA)工具之间的界面。
其主要目的是用来编写设计文件,建立电子系统行为级的仿真模型。
即利用计算机的巨大能力对用Verilog HDL或VHDL建模的复杂数字逻辑进行仿真,然后再自动综合以生成符合要求且在电路结构上可以实现的数字逻辑网表(Netlist),根据网表和某种工艺的器件自动生成具体电路,然后生成该工艺条件下这种具体电路的延时模型。
仿真验证无误后用于制造ASIC芯片或写入EPLD和FPGA 器件中。
二、Verilog HDL语言的主要特征1、语法结构上,Verilog HDL语言与C语言有许多相似之处,并借鉴C语言的多种操作符和语法结构。
2、Verilog HDL语言既包含一些高层次程序设计语言的结构形式,同时也兼顾描述硬件电路具体的线路连接。
3、通过使用结构级或行为级描述可以在不同的抽象层次描述设计。
包括三个领域和五个抽象层次,如下表8.1 所示。
行为领域结构领域物理领域系统级性能描述部件及它们之间的逻辑连接方式芯片模块电路板和物理划分的子系统算法级(芯片级)I/O 应答算法级硬件模块数据结构部件之间的物理连接电路板底盘等寄存器传输级并行操作,寄存器传输,状态表ALU 、多路选择器、寄存器、总线微定序器、微存储器之间的物理连接方式芯片、宏单元逻辑级布尔方程门电路、触发器、锁存器标准单元布图电路级微分方程晶体管、电阻、电容等晶体管布图三个领域五个抽象层次4、Verilog HDL语言是并行的,即具有在同一时刻执行多任务的能力,因为在实际硬件中许多操作都是在同一时刻发生的。
一般来讲,计算机编程语言是非并行的。
Verilog HDL的行为语句Verilog HDL有许多的行为语句,使其成为结构化和行为性的语言。
Verilog HDL语句包括:赋值语句、过程语句、块语句、条件语句、循环语句、编译预处理等,如表5-14所示。
符号“√”表示该语句能够为综合工具所支持,是可综合的。
5.3.1 赋值语句赋值语句包括持续赋值语句与过程赋值语句。
1. 持续赋值语句assign为持续赋值语句,主要用于对wire 型(连线型)变量赋值。
例如:assign c=~(a&b); 在上面的赋值中,a、b、c三个变量皆为wire型变量,a和b 信号的任何变化,都将随时反映到c上来。
2. 过程赋值语句过程赋值语句多用于对reg型变量进行赋值。
过程赋值有阻塞赋值和非阻塞赋值两种方式。
a. 非阻塞赋值方式非阻塞赋值符号为“<=”,如:b<=a; 非阻塞赋值在整个过程块结束时才完成赋值操作,即b的值并不是立刻就改变的。
b. 阻塞赋值方式阻塞赋值符号为“=”,如:b=a; 阻塞赋值在该语句结束时就立即完成赋值操作,即b的值在该语句结束时立刻改变。
如果在一个块语句中(例如always块语句),有多条阻塞赋值语句,那么在前面的赋值语句没有完成之前,后面的语句就不能被执行,仿佛被阻塞了一样,因此称为阻塞赋值方式。
5.3.2 过程语句VerilogHDL中的多数过程模块都从属于以下2种过程语句:initial及always。
在一个模块(module)中,使用initial和always语句的次数是不受限制的。
initial语句常用于仿真中的初始化,initial过程块中的语句仅执行一次;always块内的语句则是不断重复执行的。
1. initial过程语句initial过程语句使用格式如下:initial begin 语句1; 语句2;| 语句n; end intial语句不带触发条件,initial过程中的块语句沿时间轴只执行一次。
verilog-hdl答案第1章简介1.Verilog HDL是在哪一年首次被IEEE标准化的?Verilog HDL是在1995年首次被IEEE标准化的。
2.Verilog HDL支持哪三种基本描述方式Verilog HDL可采用三种不同方式或混合方式对设计建模。
这些方式包括:行为描述方式—使用过程化结构建模;数据流方式—使用连续赋值语句方式建模;结构化方式—使用门和模块实例语句描述建模3.可以使用Verilog HDL描述一个设计的时序吗?Verilog HDL可以清晰的建立时序模型,故可以使用Verilog HDL描述一个设计的时序。
4.语言中的什么特性能够用于描述参数化设计?在行为级描述中, Verilog HDL不仅能够在RT L级上进行设计描述,而且能够在体系结构级描述及其算法级行为上进行设计描述,而且能够使用门和模块实例化语句在结构级进行结构描述,这种特性可用于描述参数化设计。
5.能够使用Verilog HDL编写测试验证程序吗?能,可以编写testbench来对编写的程序进行验证。
6.Verilog HDL是由哪个公司最先开发的?Verilog HDL是由Gateway Design Automation公司最先开发的7.Verilog HDL中的两类主要数据类型是什么?线网数据类型和寄存器数据类型。
线网类型表示构件间的物理连线,而寄存器类型表示抽象的数据存储元件。
8.UDP代表什么?UDP代表用户定义原语9.写出两个开关级基本门的名称。
pmos nmos10.写出两个基本逻辑门的名称。
and or第2章 HDL指南1. 在数据流描述方式中使用什么语句描述一个设计?设计的数据流行为使用连续赋值语句进行描述2. 使用` t i m e s c a l e 编译器指令的目的是什么?举出一个实例。
使用编译指令将时间单位与物理时间相关联。
例如` timescale 1ns /100ps 此语句说明时延时间单位为1ns并且时间精度为100ps (时间精度是指所有的时延必须被限定在0.1ns内)3. 在过程赋值语句中可以定义哪两种时延?请举例详细说明。
第4节Verilog HDL语言的描述语句Verilog HDL 描述语句2.4.1 结构描述形式通过实例进行描述的方法,将Verilog HDL预先定义的基本单元实例嵌入到代码中,监控实例的输入。
Verilog HDL中定义了26个有关门级的关键字,比较常用的有8个。
在实际工程中,简单的逻辑电路由逻辑门和开关组成,通过门元语可以直观地描述其结构。
基本的门类型关键字如下所述:•and•nand•nor•or•xor•xnor•buf•notVerilog HDL支持的基本逻辑部件是由该基本逻辑器件的原语提供的。
其调用格式为:门类型<实例名> (输出,输入1,输入2,……,输入N)例如,nand na01(na_out, a, b, c );表示一个名字为na01的与非门,输出为na_out,输入为a, b, c。
例2-5 一个简单的全加器例子:module ADD(A, B, Cin, Sum, Cout);input A, B, Cin;output Sum, Cout;// 声明变量wire S1, T1, T2, T3;xor X1 (S1, A, B),X2 (Sum, S1, Cin);and A1 (T3, A, B),A2 (T2, B, Cin),A3 (T1, A, Cin);or O1 (Cout, T1, T2, T3);endmodule在这一实例中,模块包含门的实例语句,也就是包含内置门xor、and和or的实例语句。
门实例由线网型变量S1、T1、T2和T3互连。
由于未指定顺序,门实例语句可以以任何顺序出现。
门级描述本质上也是一种结构网表。
在实际中的使用方式为:先使用门逻辑构成常用的触发器、选择器、加法器等模块,再利用已经设计的模块构成更高一层的模块,依次重复几次,便可以构成一些结构复杂的电路。
其缺点是:不易管理,难度较大且需要一定的资源积累。
2.4.2 数据流描述形式数据流型描述一般都采用assign连续赋值语句来实现,主要用于实现组合功能。