当前位置:文档之家› 郑州航院EDA实验报告模板

郑州航院EDA实验报告模板

郑州航院EDA实验报告模板
郑州航院EDA实验报告模板

《EDA技术及应用》

实验报告

系部:电子通信工程系

指导教师:张松炜

学号:121307214 ____ 姓名:李俊杰_______

2014___年_6___月__19_日

实验一点亮LED设计

一、实验目的

通过此试验可以让大家逐步了解,熟悉和掌握FPGA开发软件Quartus II的使用方法及VerilogHDL的编程方法。

二、实验内容

本实验是一个简单的点亮LED灯的实验,具体包括:

1)使用Quartus II建立一个工程;

2)Quartus II工程设计;

3)设置编译选项并编译硬件系统;

4)下载硬件设计到目标FPGA;

5)观察LED的状态;

三、实验原理

和单片机一样,向片子里写进数据,输出高电平(对于共阴极的),或者输出低电平(对于共阳极)。根据Cyclone片子已经分配好的针脚设置好针脚。

四、实验步骤

建立-----个工程-----输入程序-----软件编译

------生成下载文件-----下载—调试。

五、实验程序

module led1(led); //模块名led1

output[7:0] led; //定义输出端口

reg[7:0] led; //定义寄存器

always //过程1

begin

led = 8'b10101010; //输出0xAA

end

endmodule

六、思考题

(1)写出使用QuartusII软件开发工程的完整的流程。

建立一个工程—输入程序—软件编译综合—生成下载文件—

下载—硬件调。

实验二流水灯实验

一、实验目的

通过此试验让大家进一步了解熟悉和掌握FPGA开发软件的使用方法及软件编程方法。学习简单的时序电路的设计和硬件测试。

二、实验内容

本实验的内容是建立用于控制LED流水灯的简单硬件电路,要求在SmartSOPC上实现LED1-LED8发光二极管流水灯的显示。

三、实验原理

这里的显示用分频模块(int_div)得到的较低的始终作为信号,在此时钟下,对输入数据进行移位,就得到了流水灯的效果。 四、实验步骤

建立工程—输入源程序—调用模块—生成符号—调用符号—连成电路图—编译—下载—调试

五、实验程序 原理图

VCC

clock

INPUT led[7..0]

OUTPUT

clk led[7..0]

ledwater

inst

F_DIV 24000000F_DIV_WIDTH 25

Parameter Value

clock

clk_out

int_div

inst1

六、思考题

1.本实验顶层采用了图形输入的方式,若采用文本输入方式,如何编写顶层文件?写出相应程序。

module led2(clock,led); input clock; output [7:0]led; wire p;

int_div u1( .clock(clock),.clk_out(p)); ledwater u2(.clk(p),.led(led)); endmodule

实验三键盘、LED发光实验

一、实验目的

本实验的主要目的是联系顶层模块的设计。学习和体会分支条件语句case的使用及FPGA的I/O控制。

二、实验内容

用跳线把key1-key8和指定的引脚连起来,以实现功能控制。

三、实验原理

FPGA的所有的I/O控制块允许每个引脚单独的配置为输入口。只要配置正确,则一暗淡几个键中有键输入,在检测到键盘输入的情况下,继续判断其键盘值并作出相应的处理。

四、实验步骤

1:按以前的步骤建立工程,并按说明书进行配置引脚,未用的按三态处理。2:对文件进行编译,并检错。3:按说明短接跳帽和连接跳线。4:观察输入情况。

五、实验程序

module keyled(key,led); //模块名keyled

input[7:0] key; //定义键盘输入口

output[7:0] led; //定义发光管输出口

reg[7:0] led_r; //定义寄存器

reg[7:0] buffer_r;

assign led = led_r; //输出键值

always@(key) //过程1

begin

buffer_r = key; //读取键值

case(buffer_r)

8'b11111110:led_r = 8'b11111110; //是键KEY1,则给寄存器赋值0xfe

8'b11111101:led_r = 8'b11111100; //是键KEY2,则给寄存器赋值0xfc

8'b11111011:led_r = 8'b11111000; //是键KEY3,则给寄存器赋值0xf8

8'b11110111:led_r = 8'b11110000; //是键KEY4,则给寄存器赋值0xf0

8'b11101111:led_r = 8'b11100000; //是键KEY5,则给寄存器赋值0xe0

8'b11011111:led_r = 8'b11000000; //是键KEY6,则给寄存器赋值0xc0

8'b10111111:led_r = 8'b10000000; //是键KEY7,则给寄存器赋值0x80

8'b01111111:led_r = 8'b00000000; //是键KEY8,则给寄存器赋值0x00

default: led_r = 8'b11111111; //否则给寄存器赋值0xff endcase

end

endmodule

六、思考题

1.能否用if语句改写本实验程序?如果能,写出相应程序。module keyled(key,led); //模块名keyled

input[7:0] key; //定义键盘输入口

output[7:0] led; //定义发光管输出口

reg[7:0] led_r; //定义寄存器

reg[7:0] buffer_r;

assign led = led_r; //输出键值

always@(key) //过程1

begin

buffer_r = key; //读取键值

if (buffer_r==8'b11111110) led_r = 8'b11111110;

else if (buffer_r==8'b11111101) led_r = 8'b11111100;

else if (buffer_r==8'b11111011) led_r = 8'b11111000;

else if (buffer_r==8'b11110111) led_r =8'b11110000;

else if (buffer_r==8'b11101111) led_r = 8'b11100000;

else if (buffer_r==8'b10111111) led_r = 8'b10000000;

else (buffer_r==8'b01111111) led_r = 8'b00000000;

end

endmodule

实验四静态数码管显示实验

一、实验目的

学习LPM兆模块的调用。

二、实验内容

建立7段译码显示模块,用于控制LED数码管的静态显示。要求在实验箱上的数码管依显示0—9和A-F16个字符。

三、实验原理

本实验通过分频模块int_div 分频得到1HZ的频率信号,加载4位计数器的时钟输入端,计数循环输出0-9,A-F 16个数,最后通过七段译码后在数码显示出来。

四、实验步骤

1:立源程序文件dec17s.v,输入程序代码并保存,并对此生成符号。2:添加LPM模块并按需要生成相应的文件。

五、实验程序

VCC

clock

INPUT seg[7..0]

OUTPUT

dig[7..0]

OUTPUT up counter

clock

q[3..0]

counter

inst

d[3..0]

seg[7..0]

decl7s

inst1

F_DIV 48000000F_DIV_WIDTH 26

Parameter Value clock clk_out

int_div

inst2

GND

数码管位码输出

数码管段码输出

六、思考题

1.本实验采用的是共阳极数码管,若采用共阴极数码管,有什么不同?

答:对应显示相同的数时,输入的不同。 2.如何显示HHHHHHHH 和PPPPPPPP? 答:H 对应的89h, P 对应的时73h ;

实验五 动态数码管显示实验

一、实验目的

学习动态扫描显示的原理图及电路的设计。 二、实验内容

1:在SmartSOPC 试验箱上完成LED 数码管的动态显示“1-8”个数字。 2:放慢扫描速度演示动态显示的原理过程。 三、实验原理

虽然每次只有一个LED 显示,但只要扫描显示速率够快,由于人的视觉余辉效应,使我们仍会感觉所有的数码管都在同时显示。 四、实验步骤

1:建立一个空白工程,并命名。

2:对该工程文件进行全程编译处理,若在编译过程中发现错误,则找出并更改,直至编译成功为止。

3:从设计文件创建模块。

4:将光盘中EDA目录文件拷贝到工程目录。

5:添加常量兆功能模块。

五、实验程序

module scan_led(clk_1k,d,dig,seg); //模块名scan_led

input clk_1k; //输入时钟

input[31:0] d; //输入要显示的数据

output[7:0]dig; //数码管选择输出引脚output[7:0] seg; //数码管段输出引脚

reg[7:0] seg_r; //定义数码管输出寄存器

reg[7:0] dig_r; //定义数码管选择输出寄存器reg[3:0] disp_dat; //定义显示数据寄存器

reg[2:0]count; //定义计数寄存器

assign dig = dig_r; //输出数码管选择

assign seg = seg_r; //输出数码管译码结果

always @(posedge clk_1k) //定义上升沿触发进程begin

count <= count + 1'b1;

end

always @(posedge clk_1k)

begin

case(count) //选择扫描显示数据

3'd0:disp_dat = d[31:28]; //第一个数码管

3'd1:disp_dat = d[27:24]; //第二个数码管

3'd2:disp_dat = d[23:20]; //第三个数码管

3'd3:disp_dat = d[19:16]; //第四个数码管

3'd4:disp_dat = d[15:12]; //第五个数码管

3'd5:disp_dat = d[11:8]; //第六个数码管

3'd6:disp_dat = d[7:4]; //第七个数码管

3'd7:disp_dat = d[3:0]; //第八个数码管endcase

case(count) //选择数码管显示位3'd0:dig_r = 8'b01111111; //选择第一个数码管显示

3'd1:dig_r = 8'b10111111; //选择第二个数码管显示

3'd2:dig_r = 8'b11011111; //选择第三个数码管显示

3'd3:dig_r = 8'b11101111; //选择第四个数码管显示

3'd4:dig_r = 8'b11110111; //选择第五个数码管显示

3'd5:dig_r = 8'b11111011; //选择第六个数码管显示

3'd6:dig_r = 8'b11111101; //选择第七个数码管显示

3'd7:dig_r = 8'b11111110; //选择第八个数码管显示endcase

end

always @(disp_dat)

begin

case(disp_dat) //七段译码

4'h0:seg_r = 8'hc0; //显示0

4'h1:seg_r = 8'hf9; //显示1

4'h2:seg_r = 8'ha4; //显示2

4'h3:seg_r = 8'hb0; //显示3

4'h4:seg_r = 8'h99; //显示4

4'h5:seg_r = 8'h92; //显示5

4'h6:seg_r = 8'h82; //显示6

4'h7:seg_r = 8'hf8; //显示7

4'h8:seg_r = 8'h80; //显示8

4'h9:seg_r = 8'h90; //显示9

4'ha:seg_r = 8'h88; //显示a

4'hb:seg_r = 8'h83; //显示b

4'hc:seg_r = 8'hc6; //显示c

4'hd:seg_r = 8'ha1; //显示d

4'he:seg_r = 8'h86; //显示e

4'hf:seg_r = 8'h8e; //显示f

endcase

end

endmodule

六、思考题

1.说出数码管动态显示的原理。

数码管动态显示接口是单片机中应用最为广泛的一种显示方式之一,动态驱动是将所有数码管的8个显示笔划"a,b,c,d,e,f,g,dp"的同名端连在一起,另外为每个数码管的公共极COM增加位选通控制电路,位选通由各自独立的I/O线控制,当单片机输出字形码时,所有数码管都接收到相同的字形码,但究竟是那个数码管会显示出字形,取决于单片机对位选通COM端电路的控制,所以我们只要将需要显示的数

码管的选通控制打开,该位就显示出字形。

实验六按键去抖动实验

一、实验目的

学习按键去抖动电路的硬件设计。

二、实验内容

本实验的内容是建立按键消抖模块,对比有加消抖模块和没加消抖模块电路的区别。

三、实验原理

作为机械开关的键盘,在按键操作时,机械触点的弹性及电压突跳等原因,在触点或开启的瞬间会出现电压抖动。

四、实验步骤

1:启动QuartusII建立一个空白工程并命名。

2:将电路用Verilog VHDL语言描述出来,并扩展多个通道。

3:从设计文件创建模块,由debounce.v生成命名为debounce.vbsf。4:其他步参考顶层模块原理图。

五、实验程序

module debounce(clk,key_in,key_out); //按键消抖模块

input clk; //系统时钟输入

input[KEY_WIDTH-1:0] key_in; //外部按键输入

output[KEY_WIDTH-1:0]key_out; //按键消抖输出

reg[KEY_WIDTH-1:0]dout1,dout2,dout3; //寄存器

parameter KEY_WIDTH = 8; //参数

assign key_out = (dout1 | dout2 | dout3); //按键消抖输出

always @(posedge clk)

begin

dout1 <= key_in;

dout2 <= dout1;

dout3 <= dout2;

end

endmodule

六、思考题

1.比较下面两段程序的异同,并画出电路结构图. always@ ( posedge clk)

begin

dout1<=key_in; //非阻塞赋值

dout2<=dout1;

dout3<=dout2;

end

always@ ( posedge clk)

begin

dout1<=key_in; //阻塞赋值

dout2<=dout1;

dout3<=dout2;

End

电路图如下:

key _out~8

key _out~9

key _out~10

key _out~11

key _out~12

key _out~13

key _out~14key _out~15

dout1[7..0]

D Q PRE

ENA

CLR

dout2[7..0]

D

Q

PRE ENA

CLR

dout3[7..0]

D

Q

PRE ENA

CLR

clk

key _in[7..0]

key _out[7..0]

clk

key _in[KEY_WIDTH-1..0]

key _out[KEY_WIDTH-1..0]

m2

inst

KE Y_WIDTH 8

Parameter Value

key _out~8

key _out~9

key _out~10

key _out~11

key _out~12

key _out~13

key _out~14

key _out~15

dout1[7..0]

D

Q

PRE ENA

CLR

dout2[7..0]

D

Q

PRE ENA

CLR

dout3[7..0]

D Q PRE

ENA

CLR

clk

key _in[7..0]

key _out[7..0]

clk

key _in[KEY_WIDTH-1..0]

key _out[KEY_WIDTH-1..0]

m2

inst

KE Y_WIDTH 8

Parameter Value

实验七 硬件电子琴实验

一、实验目的

学习利用蜂鸣器和按键设计硬件电子琴。

二、实验内容

在SmartSOPC实验箱上的实现一个简单的电子琴。

三、实验原理

由于组成乐曲的每个音符的频率值及其持续时间是乐曲演奏的2个基本数据,因此需要控制输出到扬声器的激励信号的频率高低和该频率信号持续的时间。

四、实验步骤

1:在QuartusII中建立一个工程项目文件,输入程序代码并保存。2:选择目标器件并对相应的引脚进行锁定。

3:对该工程文件进行全程编译处理,若在编译过程中发现错误,则找出并更改,直至编译成功为止。

4:打开电源,执行下载命令。

五、实验程序

module beep1(clk,key,beep,led); //模块名称beep

input clk; //系统时钟48MHz

input[7:0]key; //按键输入

output beep; //蜂鸣器输出端

output[7:0]led; //LED输出

reg beep_r; //寄存器

reg[15:0]count,count_end;

reg[7:0]key_r;

always@(posedge clk)

begin

count <= count + 1'b1; //计数器加1

if((count == count_end)&(!(count_end == 16'hffff)))

begin

count <= 16'h0; //计数器清零

beep_r <= !beep_r; //取反输出信号

end

end

always @(key)

begin

key_r = key; //取键值

case(key_r)

8'b11111110:count_end = 16'hb327; //中音1的分频系数值

8'b11111101:count_end = 16'h9fa0; //中音2的分频系数值

8'b11111011:count_end = 16'h8e32; //中音3的分频系数值

8'b11110111:count_end = 16'h8637; //中音4的分频系数值

8'b11101111:count_end = 16'h7794; //中音5的分频系数值

8'b11011111:count_end = 16'h6a88; //中音6的分频系数值

8'b10111111:count_end = 16'h5ee8; //中音7的分频系数值

8'b01111110:count_end = 16'h59ab; //高音1的分频系数值

8'b01111101:count_end = 16'h4fce; //高音2的分频系数值

8'b01111011:count_end = 16'h471a; //高音3的分频系数值

8'b01110111:count_end = 16'h431c; //高音4的分频系数值

8'b01101111:count_end = 16'h3bca; //高音5的分频系数值

8'b01011111:count_end = 16'h3544; //高音6的分频系数值

8'b00111111:count_end = 16'h2f74; //高音7的分频系数值

default:count_end = 16'hffff;

endcase

end

assign beep =beep_r; //输出音乐

assign led =key_r; //输出按键状态

endmodule

六、思考题

1. 举例说明产生不同音符的原理。

由于组成乐曲的每个音符的频率值及其持续时间是乐曲演奏的2个基本数据,因此需要控制输出到扬声器的激励信号的频率高低和该

频率信号持续的时间。频率的高低决定了音调的高低,而乐曲的简谱与各音名的频率关系是对应的,只要求出对应的分频分数,这样利用程序可以很轻松的得到相应的乐声。

实验八数字时钟设计

一、实验目的

学习数字时钟的硬件设计。

二、实验内容

在SmartSOPC试验箱上完成一个可以计时的数字时钟,其显示时间范围是00:00:00-23:59:59

三、实验原理

一个完整的时钟应由3部分组成,秒脉冲发生电路,计数显示部分和时钟调整部分。本实验的校时电路在此仅仅完成了暂停,清零的基本功能。

四、实验步骤

1:在QuartusII中建立一个工程项目文件。

2:选择目标器件并对相应的引脚进行锁定。

3:对该工程文件进行全程编译处理。

4:硬件连接,下载程序。

五、实验程序

module clock(clk,key,dig,seg); //模块名clock

input clk; //输入时钟

input[1:0] key; //输入按键

output[7:0]dig; //数码管选择输出引脚

output[7:0] seg; //数码管段输出引脚

reg[7:0] seg_r; //定义数码管输出寄存器

reg[7:0] dig_r; //定义数码管选择输出寄存器reg[3:0] disp_dat; //定义显示数据寄存器

reg[24:0]count; //定义计数寄存器

reg[23:0]hour; //定义现在时刻寄存器

reg sec,keyen; //定义标志位

reg[1:0]dout1,dout2,dout3; //寄存器

wire[1:0]key_done; //按键消抖输出

assign dig = dig_r; //输出数码管选择

assign seg = seg_r; //输出数码管译码结果

//秒信号产生部分

always @(posedge clk) //定义clock上升沿触发begin

count = count + 1'b1;

if(count == 25'd2*******) //0.5S到了吗?

begin

count = 25'd0; //计数器清零

sec = ~sec; //置位秒标志

end

end

//按键消抖处理部分

assign key_done = (dout1 | dout2 | dout3); //按键消抖输出

always @(posedge count[17])

begin

dout1 <= key;

dout2 <= dout1;

dout3 <= dout2;

end

always @(negedge key_done[0])

begin

keyen = ~keyen; //将琴键开关转换为乒乓开关end

//数码管动态扫描显示部分

always @(posedge clk) //count[17:15]大约1ms改变一次begin

case(count[17:15]) //选择扫描显示数据3'd0:disp_dat = hour[3:0]; //秒个位

3'd1:disp_dat = hour[7:4]; //秒十位

3'd2:disp_dat = 4'ha; //显示"-"

3'd3:disp_dat = hour[11:8]; //分个位

3'd4:disp_dat = hour[15:12]; //分十位

3'd5:disp_dat = 4'ha; //显示"-"

3'd6:disp_dat = hour[19:16]; //时个位

3'd7:disp_dat = hour[23:20]; //时十位

endcase

case(count[17:15]) //选择数码管显示位3'd0:dig_r = 8'b11111110; //选择第一个数码管显示

关于计算机实验报告的参考范文

关于计算机实验报告的参考范文 篇一 一、实验题目 文件和文件夹的管理 二、实验目的 1.熟悉Windows XP的文件系统。 2.掌握资源管理器的使用方法。 3.熟练掌握在Windows XP资源管理器下,对文件(夹)的选择、新建、移动、复制、删除、重命名的操作方法。 三、实验内容 1.启动资源管理器并利用资源管理器浏览文件。 2.在D盘创建文件夹 3.在所创建文件夹中创建Word文件。 4.对所创建文件或文件夹执行复制、移动、重命名、删除、恢复、创建快捷方式及设置共享等操作。 四、实验步骤 (一)文件与文件夹管理 1.展开与折叠文件夹。右击开始,打开资源管理器,在左窗格中点击“+”展开,点击“—”折叠 2.改变文件显示方式。打开资源管理器/查看,选择缩略、列表,排列图标等

3.建立树状目录。在D盘空白处右击,选择新建/文件夹,输入经济贸易学院,依次在新建文件夹中建立经济类1103 4..创建Word并保存。打开开始/程序/word,输入内容。选择文件/另存为,查找D盘/经济贸易学院/1103班/王帅,单击保存 5.复制、移动文件夹 6.重命名、删除、恢复。右击文件夹,选择重命名,输入新名字;选择删除,删除文件 7.创建文件的快捷方式。右击王帅文件夹,选择发送到/桌面快捷方式 8.设置共享文件。右击王帅,选择属性/共享/在网络上共享这个文件/确定 9.显示扩展名。打开资源管理器/工具/文件夹选项/查看/高级设置,撤销隐藏已知文件的扩展名 (二)控制面板的设置。 1.设置显示属性。右击打开显示属性/桌面、屏幕保护程序 2.设置鼠标。打开控制面板/鼠标/按钮(调整滑块,感受速度)、指针 3.设置键盘。打开控制面板/键盘/速度(调整滑块,感受速度)、硬件 4.设置日期和时间打开控制面板/日期和时间

实验报告格式模板-供参考

实验名称:粉体真密度的测定 粉体真密度是粉体质量与其真体积之比值,其真体积不包括存在于粉体颗粒内部的封闭空洞。所以,测定粉体的真密度必须采用无孔材料。根据测定介质的不同,粉体真密度的主要测定方法可分为气体容积法和浸液法。 气体容积法是以气体取代液体测定试样所排出的体积。此法排除了浸液法对试样溶解的可能性,具有不损坏试样的优点。但测定时易受温度的影响,还需注意漏气问题。气体容积法又分为定容积法与不定容积法。 浸液法是将粉末浸入在易润湿颗粒表面的浸液中,测定其所排除液体的体积。此法必须真空脱气以完全排除气泡。真空脱气操作可采用加热(煮沸)法和减压法,或两法同时并用。浸液法主要有比重瓶法和悬吊法。其中,比重瓶法具有仪器简单、操作方便、结果可靠等优点,已成为目前应用较多的测定真密度的方法之一。因此,本实验采用比重瓶法。 一.实验目的 1. 了解粉体真密度的概念及其在科研与生产中的作用; 2. 掌握浸液法—比重瓶法测定粉末真密度的原理及方法; 3.通过实验方案设计,提高分析问题和解决问题的能力。 二.实验原理 比重瓶法测定粉体真密度基于“阿基米德原理”。将待测粉末浸入对其润湿而不溶解的浸液中,抽真空除气泡,求出粉末试样从已知容量的容器中排出已知密度的液体,就可计算所测粉末的真密度。真密度ρ计算式为: 式中:m 0—— 比重瓶的质重,g ; m s —— (比重瓶+粉体)的质重,g ; m sl —— (比重瓶+液体)的质重,g ; ρl —— 测定温度下浸液密度;g/cm 3; ρ—— 粉体的真密度,g/cm 3; 三.实验器材: l s sl l s m m m m m m ρρ) ()(00----=

化学实验报告格式模板.doc

化学实验报告格式模板 (以草酸中h2c2o4含量的测定为例) 实验题目:草酸中h2c2o4含量的测定 实验目的: 学习naoh标准溶液的配制、标定及有关仪器的使用; 学习碱式滴定管的使用,练习滴定操作。 实验原理: h2c2o4为有机弱酸,其ka1=5.9×10-2,ka2=6.4×10-5。常量组分分析时cka1>10-8,cka2>10-8,ka1/ka2<105,可在水溶液中一次性滴定其两步离解的h+: h2c2o4+2naoh===na2c2o4+2h2o 计量点ph值8.4左右,可用酚酞为指示剂。 naoh标准溶液采用间接配制法获得,以邻苯二甲酸氢钾标定: -cook -cooh +naoh=== -cook

-coona +h2o 此反应计量点ph值9.1左右,同样可用酚酞为指示剂。 实验方法: 一、naoh标准溶液的配制与标定 用台式天平称取naoh1g于100ml烧杯中,加50ml蒸馏水,搅拌使其溶解。移入500ml试剂瓶中,再加200ml蒸馏水,摇匀。 准确称取0.4~0.5g邻苯二甲酸氢钾三份,分别置于250ml 锥形瓶中,加20~30ml蒸馏水溶解,再加1~2滴0.2%酚酞指示剂,用naoh标准溶液滴定至溶液呈微红色,半分钟不褪色即为终点。 二、h2c2o4含量测定 准确称取0.5g左右草酸试样,置于小烧杯中,加20ml蒸馏水溶解,然后定量地转入100ml容量瓶中,用蒸馏水稀释至刻度,摇匀。 用20ml移液管移取试样溶液于锥形瓶中,加酚酞指示剂1~2滴,用naoh标准溶液滴定至溶液呈微红色,半分钟不褪色即为终点。平行做三次。 实验数据记录与处理: 一、naoh标准溶液的标定

实验报告格式模板

实验报告格式模板 实验报告的书写是一项重要的基本技能训练。它不仅是对每次实验的总结,更重要的是它可以初步地培养和训练学生的逻辑归纳能力、综合分析能力和文字 表达能力,是科学论文写作的基础。因此,参加实验的每位学生,均应及时认真地书写实验报告。要求内容实事求是,分析全面具体,文字简练通顺,誊写清楚整洁。 实验报告内容与格式 (一)实验名称 要用最简练的语言反映实验的内容。如验证某程序、定律、算法,可写成“验证XXX” ;分析XXX。 (二)所属课程名称 (三)学生姓名、学号、及合作者 (四)实验日期和地点(年、月、日) (五)实验目的 目的要明确,在理论上验证定理、公式、算法,并使实验者获得深刻和系统的理解,在实践上,掌握使用实验设备的技能技巧和程序的调试方法。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 (六)实验内容 这是实验报告极其重要的内容。要抓住重点,可以从理论和实践两个方面考虑。这部分要写明依据何种原理、定律算法、或操作方法进行实验。详细理论计算过程? (七)实验环境 实验用的软硬件环境(配置)。 (八)实验步骤 只写主要操作步骤,不要照抄实习指导,要简明扼要。还应该画出实验流程图(实验装置的结构示意图),再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。

(九)实验结果 实验现象的描述,实验数据的处理等。原始资料应附在本次实验主要操作者的实验报告上,同组的合作者要复制原始资料。 对于实验结果的表述,一般有三种方法: 1.文字叙述:根据实验目的将原始资料系统化、条理化,用准确的专业术语客观地描述实验现象和结果,要有时间顺序以及各项指标在时间上的关系。 2.图表:用表格或坐标图的方式使实验结果突出、清晰,便于相互比较, 尤其适合于分组较多,且各组观察指标一致的实验,使组间异同一目了然。每一图表应有表目和计量单位,应说明一定的中心问题。 3.曲线图应用记录仪器描记出的曲线图,这些指标的变化趋势形象生动、直观明了。 在实验报告中,可任选其中一种或几种方法并用,以获得最佳效果。 (十)讨论 根据相关的理论知识对所得到的实验结果进行解释和分析。如果所得到的实验结果和预期的结果一致,那么它可以验证什么理论?实验结果有什么意义?说明了什么问题?这些是实验报告应该讨论的。但是,不能用已知的理论或生活经验硬套在实验结果上;更不能由于所得到的实验结果与预期的结果或理论不符而随意取舍甚至修改实验结果,这时应该分析其异常的可能原因。如果本次实验失败了,应找出失败的原因及以后实验应注意的事项。不要简单地复述课本上的 理论而缺乏自己主动思考的内容。 另外,也可以写一些本次实验的心得以及提出一些问题或建议等。 (十-)结论 结论不是具体实验结果的再次罗列,也不是对今后研究的展望,而是针对这一实验所能验证的概念、原则或理论的简明总结,是从实验结果中归纳出的一般性、概括性的判断,要简练、准确、严谨、客观。 (十二)鸣谢(可略) 在实验中受到他人的帮助,在报告中以简单语言感谢. (十三)参考资料 详细列举在实验中所用到的参考资料. 格式: 作者年代书名及页数出版社

实验报告格式参考模板

实验报告格式参考模板 实验名称:粉体真密度的测定 粉体真密度是粉体质量与其真体积之比值,其真体积不包括存在于粉体颗粒内部的封闭空洞。所以,测定粉体的真密度必须采用无孔材料。根据测定介质的不同,粉体真密度的主要测定方法可分为气体容积法和浸液法。 气体容积法是以气体取代液体测定试样所排出的体积。此法排除了浸液法对试样溶解的可能性,具有不损坏试样的优点。但测定时易受温度的影响,还需注意漏气问题。气体容积法又分为定容积法与不定容积法。 浸液法是将粉末浸入在易润湿颗粒表面的浸液中,测定其所排除液体的体积。此法必须真空脱气以完全排除气泡。真空脱气操作可采用加热(煮沸)法和减压法,或两法同时并用。浸液法主要有比重瓶法和悬吊法。其中,比重瓶法具有仪器简单、操作方便、结果可靠等优点,已成为目前应用较多的测定真密度的方法之一。因此,本实验采用比重瓶法。 一.实验目的 1. 了解粉体真密度的概念及其在科研与生产中的作用; 2. 掌握浸液法—比重瓶法测定粉末真密度的原理及方法; 3.通过实验方案设计,提高分析问题和解决问题的能力。 二.实验原理

比重瓶法测定粉体真密度基于“阿基米德原理”。将待测粉末浸入对其润湿而不溶解的浸液中,抽真空除气泡,求出粉末试样从已知容量的容器中排出已知密度的液体,就可计算所测粉末的真密度。真密度ρ计算式为: 式中:m0——比重瓶的质重,g; ms—— (比重瓶+粉体)的质重,g; msl—— (比重瓶+液体)的质重,g;ρl——测定温度下浸液密度;g/cm3;ρ——粉体的真密度,g/cm3; 三.实验器材: 实验仪器:真空干燥器,比重瓶;分析天平;烧杯。实验原料:金刚砂。 四.实验过程 1. 将比重瓶洗净编号,放入烘箱中于110℃下烘干冷却备用。 2. 用电子天平称量每个比重瓶的质量m0。 3. 每次测定所需试样的题记约占比重瓶容量的1/3,所以应预先用四分法缩分待测试样。 4. 取300ml的浸液倒入烧杯中,再将烧杯放进真空干燥器内预先脱气。浸液的密度可以查表得知。 5. 在已干燥的比重瓶里的物体受到向上的浮力作用,浮力的大小等于被该物体排开的液体的重力。 七.实验心得 以往的实验都是比着实验书本操作,而本次实验实验方案则是自己自主完成的,毫无经 12(4

标准实验报告模板

实验报告 实验名称 _______________________ 课程名称—电子技术基础实验 院系部: 学生姓名: 同组人: 指导教师: 实验日期: 华北电力大学 专业班级: 学 号: 实验台号: 成 绩

实验报告要求: 一、实验目的及要求 二、仪器用具 三、实验原理 四、实验步骤(包括原理图、实验结果与数据处理) 五、讨论与结论(对实验现象、实验故障及处理方法、实验中 存在的问题等进行分析和讨论,对实验的进一步想法或改进意见。)六、实验原始数据

、实验目的及要求: 1. 学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2. 掌握放大器电压放大倍数和最大不失真输出电压的测试方法。 3. 悉常用电子仪器及模拟电路实验设备的使用。 、仪器用具:略 三、实验原理 图1.2.1为电阻分压式工作点稳定单管放大器实验电路图。 在图1.2.1电路中,当流过偏置电阻R B1和R B2的电流远大于晶体管VT的基极电流I B时(一般5?10倍),则它的静态工作点可用下式估算: 输入电阻:R = F B1 // R B2 //[r b e +(1+ 3 )R F1] 输出电阻:皆F C 四、实验方法与步骤: 1. 调试静态工作点 接通+ 12V电源、调节R W使H= 2.0V,测量U B、H、U C、氐值。记入表1.2.1 表1.2.1 U E= 2.0V 测量值计算值 U B (V)U E (V)UC (V)R B2 (K Q)U B E ( V)U C E (V) 1 c (mA 2.665 2.07.8530.865 5.2 2.0 根据表格测量数据,计算得到: U B E = U B- U E =0.665V , U C E= U C-U E =5.8V,I C~ I E=U E/R E=2心.1)=1.82mA 实验数据显示,Q点的值满足放大电路的静态工作点要求,BJT处于放大区。 2. 测量不同负载下的电压放大倍数 U B R B1 U cc U B岀£ R E R F1 CE = U C—I c( R:+ R FI + R E) 电压放大倍数: R c // R L r be (1 ) R F1 其中r be= 200+26 (1+ 3 )/1 E 图1.2.1 共射极单管放大器实验电路 R B1

实验报告范文模板3篇

实验报告范文模板3篇 Experimental report template 编订:JinTai College

实验报告范文模板3篇 小泰温馨提示:实验报告是把实验的目的、方法、过程、结果等记录下来,经过整理,写成的书面汇报。本文档根据实验报告内容要求展开说明,具有实践指导意义,便于学习和使用,本文下载后内容可随意修改调整及打印。 本文简要目录如下:【下载该文档后使用Word打开,按住键盘Ctrl键且鼠标单击目录内容即可跳转到对应篇章】 1、篇章1:实验报告范文模板 2、篇章2:实验报告范文模板 3、篇章3:实验报告范文模板 篇章1:实验报告范文模板 例一定量分析实验报告格式 (以草酸中h2c2o4含量的测定为例) 实验题目:草酸中h2c2o4含量的测定 学习naoh标准溶液的配制、标定及有关仪器的使用; 学习碱式滴定管的使用,练习滴定操作。

h2c2o4为有机弱酸,其ka1=5.9×10-2,ka2=6.4×10-5。常量组分分析时cka1>10-8,cka2>10-8,ka1/ka2<105, 可在水溶液中一次性滴定其两步离解的h+: h2c2o4+2naoh===na2c2o4+2h2o 计量点ph值8.4左右,可用酚酞为指示剂。 naoh标准溶液采用间接配制法获得,以邻苯二甲酸氢钾 标定: 此反应计量点ph值9.1左右,同样可用酚酞为指示剂。 一、naoh标准溶液的配制与标定 用台式天平称取naoh1g于100ml烧杯中,加50ml蒸馏水,搅拌使其溶解。移入500ml试剂瓶中,再加200ml蒸馏水,摇匀。 准确称取0.4~0.5g邻苯二甲酸氢钾三份,分别置于 250ml锥形瓶中,加20~30ml蒸馏水溶解,再加1~2滴0.2%酚酞指示剂,用naoh标准溶液滴定至溶液呈微红色,半分钟不 褪色即为终点。 二、h2c2o4含量测定

电气实验报告参考范文

电气实验报告参考范文 实习目的 使学生对电气元件及电工技术有一定的感性和理性认识,对电工技术等方面的专业知识做进一步的理解。同时,通过实习得实际生产知识和安装技能,掌握照明线路和常用仪表的使用与测量,培养学生理论联系实际的能力,提高分析问题和解决问题的能力,增强独立工作能力,培养学生团结合作,共同探讨,共同前进的精神。 二、时间安排 星期一上午领教材,阅实习材料学习触电急救的知识测试施行人工呼吸和胸 外心脏挤压的抢救 下午使用灭火器 星期二上午常用仪器仪表使用的讲解自己练习测试 下午测试万用表(测试电阻,直流,交流电,电池) 星期三全天 照明安装电路一灯一控制 星期四全天 电动机控制电路包括:按钮联锁的正转同反转控制线路(常弊和常开按钮)星期五上午练习双重联锁控制线路图和复习按钮联锁的正转同反转控制线路

记住如何接线路 下午测试按钮联锁的正转同反转控制线路 部分学生全面打扫实习场所卫生(可加10分呵呵)写实训报告(每人一份1000字)卑老师仲要写实训报告卑学校,保存...... 三、实习内容 1. 老师进行用电安全教育 老师讲述了电是现代化生产和生活中不可缺少的重要能源。若用电不慎,就可能 造成电源中断、设备损坏、人身伤亡,将给生产和生活造成很大的影响,因此进行安全教育具有特殊重要的意义。老师给我们讲述了有关触电的基本知识,触电急救知识和电气消防知识等等。 触电是指人体触及带电后,电流对人体造成的伤害。它分为两种类型,即电击和电伤。电击是指电流通过人体内部,破坏人体内部组织,影响呼吸系统、心脏及神经系统的正常功能,甚至危及生命。电击致伤的部位主要在人体内部,它可以使肌肉抽搐,内部组织损伤,造成发热发麻,严重时将引起昏迷、窒息,甚至心脏停止跳动而死亡。而电伤是指电流的热效应、化学效应、机械效应及电流本身作用造成的人体伤害。电伤会使人体皮肤表面留下明显的伤痕,常见的有灼伤、烙伤和皮肤金属化等现象。

实验报告格式模板-供参考

实验名称:粉体真密度的测定 粉体真密度是粉体质量与其真体积之比值,其真体积不包括存在于粉体颗粒内部的封闭 空洞。所以,测定粉体的真密度必须采用无孔材料。根据测定介质的不同,粉体真密度的主 要测定方法可分为气体容积法和浸液法。 气体容积法是以气体取代液体测定试样所排出的体积。此法排除了浸液法对试样溶解的可能性,具有不损坏试样的优点。但测定时易受温度的影响,还需注意漏气问题。气体容积 法又分为定容积法与不定容积法。 浸液法是将粉末浸入在易润湿颗粒表面的浸液中,测定其所排除液体的体积。此法必须真空脱气以完全排除气泡。真空脱气操作可采用加热(煮沸)法和减压法,或两法同时并用。浸液法主要有比重瓶法和悬吊法。其中,比重瓶法具有仪器简单、操作方便、结果可靠等优 点,已成为目前应用较多的测定真密度的方法之一。因此,本实验采用比重瓶法。 一.实验目的 1. 了解粉体真密度的概念及其在科研与生产中的作用; 2. 掌握浸液法—比重瓶法测定粉末真密度的原理及方法; 3.通过实验方案设计,提高分析问题和解决问题的能力。 二.实验原理 比重瓶法测定粉体真密度基于“阿基米德原理”。将待测粉末浸入对其润湿而不溶解的浸液中,抽真空除气泡,求出粉末试样从已知容量的容器中排出已知密度的液体,就可计算所 测粉末的真密度。真密度ρ计算式为: 式中:m0——比重瓶的质重,g; m s—— (比重瓶+粉体)的质重,g; m sl—— (比重瓶+液体)的质重,g; ρl——测定温度下浸液密度;g/cm3; ρ——粉体的真密度,g/cm3;

三.实验器材: 实验仪器:真空干燥器,比重瓶(2-4个);分析天平;烧杯。 实验原料:金刚砂。 四.实验过程 1. 将比重瓶洗净编号,放入烘箱中于110℃下烘干冷却备用。 2. 用电子天平称量每个比重瓶的质量m0。 3. 每次测定所需试样的题记约占比重瓶容量的1/3,所以应预先用四分法缩分待测试样。 4. 取300ml的浸液(实际实验中为去离子水)倒入烧杯中,再将烧杯放进真空干燥器内 预先脱气。浸液的密度可以查表得知。 5. 在已干燥的比重瓶(m0),装入约为比重瓶容量1/3的粉体试样,精确称量比重瓶和试样的的质量m s。 6. 将预先脱气的去离子水注入有试样的的比重瓶内,到容器容量的2/3处为止,放入真空干燥器内。启动真空泵,抽气约20-30min时暂停抽气。 7. 从真空干燥器中取出比重瓶,向瓶内加满浸液并在电子天平上称其质量m sl。 8. 洗净该比重瓶,向瓶内加满浸液,称其质量为m l。 9. 重复操作5.6.7.8测下一组数据,多次测量取平均值。 五.数据记录与处理 1. 数据记录 编号m0(比重瓶)/g m s(比重瓶+试样) /g m sl(比重瓶+ 试样+浸液)/g ml(浸液)/g 1 20.6697 28.4501 52.3043 46.9095 2 18.9602 24.6522 45.5907 41.6701 2. 数据处理: 根据公式

实验报告(参考模板)

《计算机编程》实验报告 实验名称:用C语言编写简单程序指导教师:王红 学号: 191543513 姓名:黄彦章完成日期: 2020.3.13 一、实验目的 1 、掌握算术表达式和赋值表达式的使用。 2 、掌握基本输出函数的使用。 3 能够编程实现简单的数据处理。 4、理解编译错误信息的含义,掌握简单C程序的查错方法。 二、实验内容(要求按照老师布置的实验内容按照以下要求撰写实验报告) [实验题目1] 1、#include int main() { double x; scanf("%lf",&x); if(x==0) printf("f(0.0) = 0.0"); else printf("f(%.1lf) = %.1lf",x*1.0,(1.0)/x); } 2、实验结果如图所示

3、一开始if 的括号中只有一个等号,即把赋值与等于的概念混淆了,导致了编译错误;然后在解题的过程中审题不仔细,忘了保留一位小数. 4、通过完成这道题,我认识到做题过程中审清题干的要求是非常重要的,同时也要认真辨析不同概念之间的差异。 [实验题目2] 1、#include #include int main(void) { int money; float rate,interest,year; scanf("%d %f %f",&money,&year,&rate); interest = money*pow((1+rate),year)-money; printf("interest = %.2lf\n",interest) return0; } 2、实验结果如图二所示 3、第一次提交后,系统显示格式错误,并且注明了年不是整数,当时感到有些懵,因为我认为利率是按年计算的,而在浏览了群内同学们对这题的讨论之后,我意识到实际上存钱并不是一整年一整年存的,年是可以有小数点的,所以必须要把年这个变量设为float。 4、通过这一次实验,让我想到了一句老话“众人拾柴火焰高”,只有参与到课堂答疑中才能学到一些课本上没有的东西,从而扩宽知识面 [实验题目3] 1、#include int main() { int fahr,lower,upper; double celsius; scanf("%d %d",&lower,&upper); if(upper100) { printf("Invalid."); } else printf("fahr celsius\n"); for (fahr = lower;fahr <= upper;fahr = fahr+2) { celsius = 5.0/9.0*(fahr-32); printf("%d%6.1lf\n",fahr,celsius); }

化学实验报告格式

化学实验报告格式 例一定量分析实验报告格式 (以草酸中h2c2o4含量的测定为例) 实验题目:草酸中h2c2o4含量的测定 实验目的: 学习naoh标准溶液的配制、标定及有关仪器的使用; 学习碱式滴定管的使用,练习滴定操作。 实验原理: h2c2o4为有机弱酸,其ka1=×10-2,ka2=×10-5。常量组分分析时cka1>10-8,cka2>10-8,ka1/ka2<105,可在水溶液中一次性滴定其两步离解的h+: h2c2o4+2naoh===na2c2o4+2h2o 计量点ph值左右,可用酚酞为指示剂。 naoh标准溶液采用间接配制法获得,以邻苯二甲酸氢钾标定: -cook -cooh +naoh=== -cook -coona +h2o

此反应计量点ph值左右,同样可用酚酞为指示剂。 实验方法: 一、naoh标准溶液的配制与标定 用台式天平称取naoh1g于100ml烧杯中,加50ml蒸馏水,搅拌使其溶解。移入500ml试剂瓶中,再加200ml蒸馏水,摇匀。 准确称取~邻苯二甲酸氢钾三份,分别置于250ml锥形瓶中,加20~30ml蒸馏水溶解,再加1~2滴%酚酞指示剂,用naoh标准溶液滴定至溶液呈微红色,半分钟不褪色即为终点。 二、h2c2o4含量测定 准确称取左右草酸试样,置于小烧杯中,加20ml蒸馏水溶解,然后定量地转入100ml容量瓶中,用蒸馏水稀释至刻度,摇匀。 用20ml移液管移取试样溶液于锥形瓶中,加酚酞指示剂1~2滴,用naoh标准溶液滴定至溶液呈微红色,半分钟不褪色即为终点。平行做三次。

实验数据记录与处理:一、naoh标准溶液的标定实验编号123备注 mkhc8h4o4/g始读数 终读数 结果 vnaoh/ml始读数 终读数 结果 cnaoh/mol·l-1 naoh/mol·l-1 结果的相对平均偏差

实验报告格式模板-供参考

实验报告格式模板-供参考-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

实验名称:粉体真密度的测定 粉体真密度是粉体质量与其真体积之比值,其真体积不包括存在于粉体颗粒内部的封闭空洞。所以,测定粉体的真密度必须采用无孔材料。根据测定介质的不同,粉体真密度的主要测定方法可分为气体容积法和浸液法。 气体容积法是以气体取代液体测定试样所排出的体积。此法排除了浸液法对试样溶解的可能性,具有不损坏试样的优点。但测定时易受温度的影响,还需注意漏气问题。气体容积法又分为定容积法与不定容积法。 浸液法是将粉末浸入在易润湿颗粒表面的浸液中,测定其所排除液体的体积。此法必须真空脱气以完全排除气泡。真空脱气操作可采用加热(煮沸)法和减压法,或两法同时并用。浸液法主要有比重瓶法和悬吊法。其中,比重瓶法具有仪器简单、操作方便、结果可靠等优点,已成为目前应用较多的测定真密度的方法之一。因此,本实验采用比重瓶法。 一.实验目的 1. 了解粉体真密度的概念及其在科研与生产中的作用; 2. 掌握浸液法—比重瓶法测定粉末真密度的原理及方法; 3.通过实验方案设计,提高分析问题和解决问题的能力。 二.实验原理 比重瓶法测定粉体真密度基于“阿基米德原理”。将待测粉末浸入对其润湿而不溶解的浸液中,抽真空除气泡,求出粉末试样从已知容量的容器中排出已知密度的液体,就可计算所测粉末的真密度。真密度ρ计算式为: 式中:m 0—— 比重瓶的质重,g ; m s —— (比重瓶+粉体)的质重,g ; m sl —— (比重瓶+液体)的质重,g ; l s sl l s m m m m m m ρρ)()(00----=

实验报告格式范文

实验报告格式 实验名称 要用最简练的语言反映实验的内容。如验证某程序、定律、算法,可写成"验证×××";分析×××。 学生姓名、学号、及合作者 实验日期和地点(年、月、日) 实验目的 目的要明确,在理论上验证定理、公式、算法,并使实验者获得深刻和系统的理解,在实践上,掌握使用实验设备的技能技巧和程序的调试方法。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验原理 在此阐述实验相关的主要原理。 实验内容 这是实验报告极其重要的内容。要抓住重点,可以从理论和实践两个方面考虑。这部分要写明依据何种原理、定律算法、或操作方法进行实验。详细理论计算过程。 实验步骤 只写主要操作步骤,不要照抄实习指导,要简明扼要。还应该画出实验流程图(实验装置的结构示意图),再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果

实验现象的描述,实验数据的处理等。原始资料应附在本次实验主要操作者的实验报告上,同组的合作者要复制原始资料。 对于实验结果的表述,一般有三种方法: 1. 文字叙述: 根据实验目的将原始资料系统化、条理化,用准确的专业术语客观地描述实验现象和结果,要有时间顺序以及各项指标在时间上的关系。 2. 图表: 用表格或坐标图的方式使实验结果突出、清晰,便于相互比较,尤其适合于分组较多,且各组观察指标一致的实验,使组间异同一目了然。每一图表应有表目和计量单位,应说明一定的中心问题。 3. 曲线图 应用记录仪器描记出的曲线图,这些指标的变化趋势形象生动、直观明了。 在实验报告中,可任选其中一种或几种方法并用,以获得最佳效果。 讨论 根据相关的理论知识对所得到的实验结果进行解释和分析。如果所得到的实验结果和预期的结果一致,那么它可以验证什么理论?实验结果有什么意义?说明了什么问题?这些是实验报告应该讨论的。但是,不能用已知的理论或生活经验硬套在实验结果上;更不能由于所得到的实验结果与预期的结果或理论不符而随意取舍甚至修改实验结果,这时应该分析其异常的可能原因。如果本次实验失败了,应找出失败的原因及以后实验应注意的事项。不要简单地复述课本上的理论而缺乏自己主动思考的内容。 另外,也可以写一些本次实验的心得以及提出一些问题或建议等。 结论 结论不是具体实验结果的再次罗列,也不是对今后研究的展望,而是针对这一实验所能验证的概念、原则或理论的简明总结,是从实验结果中归纳出的一般性、概括性的判断,要简练、准确、严谨、客观。 实验报告范文1 一、实验目的及要求:

【实验报告】实验报告模板

实验报告模板 一、演示目的 气体放电存在多种形式,如电晕放电、电弧放电和火花放电等,通过此演示实验观察火花放电的发生过程及条件。 二、原理 首先让尖端电极和球型电极与平板电极的距离相等。尖端电极放电,而球型电极未放电。这是由于电荷在导体上的分布与导体的曲率半径有关。导体上曲率半径越小的地方电荷积聚越多(尖端电极处),两极之间的电场越强,空气层被击穿。反之越少(球型电极处),两极之间的电场越弱,空气层未被击穿。当尖端电极与平板电极之间的距离大于球型电极与平板电极之间的距离时,其间的电场较弱,不能击穿空气层。而此时球型电极与平板电极之间的距离最近,放电只能在此处发生。 三、装置 一个尖端电极和一个球型电极及平板电极。 四、现象演示 让尖端电极和球型电极与平板电极的距离相等。尖端电极放电,而球型电极未放电。接着让尖端电极与平板电极之间的距离大于球型电极与平板电极之间的距离,放电在球型电极与平板电极之间发生 五、讨论与思考 雷电暴风雨时,最好不要在空旷平坦的田野上行走。为什么? 一、实验目的及要求:

本实例是要创建边框为1像素的表格。 二、仪器用具 1、生均一台多媒体电脑,组建内部局域网,并且接入国际互联网。 2、安装windows xp操作系统;建立iis服务器环境,支持asp。 3、安装网页三剑客(dreamweaver mx;flash mx;fireworks mx)等网页设计软件; 4、安装acdsee、photoshop等图形处理与制作软件; 5、其他一些动画与图形处理或制作软件。 三、实验原理 创建边框为1像素的表格。 四、实验方法与步骤 1) 在文档中,单击表格“”按钮,在对话框中将“单元格间距”设置为“1”。 2) 选中插入的表格,将“背景颜色”设置为“黑色”(#)。 3) 在表格中选中所有的单元格,在“属性”面版中将“背景颜色”设置为“白色”(#ffffff)。 4) 设置完毕,保存页面,按下“f12”键预览。 五、实验结果 六、讨论与结论

实验报告格式模板

实验报告的书写是一项重要的基本技能训练。它不仅是对每次实验的总结,更重要的是它可以初步地培养和训练学生的逻辑归纳能力、综合分析能力和文字表达能力,是科学论文写作的基础。因此,参加实验的每位学生,均应及时认真地书写实验报告。要求内容实事求是,分析全面具体,文字简练通顺,誊写清楚整洁。 实验报告内容与格式 (一) 实验名称 要用最简练的语言反映实验的内容。如验证某程序、定律、算法,可写成“验证×××”;分析×××。 (二) 所属课程名称 (三) 学生姓名、学号、及合作者 (四) 实验日期和地点(年、月、日) (五) 实验目的 目的要明确,在理论上验证定理、公式、算法,并使实验者获得深刻和系统的理解,在实践上,掌握使用实验设备的技能技巧和程序的调试方法。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 (六) 实验内容 这是实验报告极其重要的内容。要抓住重点,可以从理论和实践两个方面考虑。这部分要写明依据何种原理、定律算法、或操作方法进行实验。详细理论计算过程. (七) 实验环境 实验用的软硬件环境(配置)。 (八) 实验步骤 只写主要操作步骤,不要照抄实习指导,要简明扼要。还应该画出实验流程图(实验装置的结构示意图),再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。

(九) 实验结果 实验现象的描述,实验数据的处理等。原始资料应附在本次实验主要操作者的实验报告上,同组的合作者要复制原始资料。 对于实验结果的表述,一般有三种方法: 1. 文字叙述: 根据实验目的将原始资料系统化、条理化,用准确的专业术语客观地描述实验现象和结果,要有时间顺序以及各项指标在时间上的关系。 2. 图表: 用表格或坐标图的方式使实验结果突出、清晰,便于相互比较,尤其适合于分组较多,且各组观察指标一致的实验,使组间异同一目了然。每一图表应有表目和计量单位,应说明一定的中心问题。 3. 曲线图应用记录仪器描记出的曲线图,这些指标的变化趋势形象生动、直观明了。 在实验报告中,可任选其中一种或几种方法并用,以获得最佳效果。(十) 讨论 根据相关的理论知识对所得到的实验结果进行解释和分析。如果所得到的实验结果和预期的结果一致,那么它可以验证什么理论?实验结果有什么意义?说明了什么问题?这些是实验报告应该讨论的。但是,不能用已知的理论或生活经验硬套在实验结果上;更不能由于所得到的实验结果与预期的结果或理论不符而随意取舍甚至修改实验结果,这时应该分析其异常的可能原因。如果本次实验失败了,应找出失败的原因及以后实验应注意的事项。不要简单地复述课本上的理论而缺乏自己主动思考的内容。 另外,也可以写一些本次实验的心得以及提出一些问题或建议等。 (十一) 结论 结论不是具体实验结果的再次罗列,也不是对今后研究的展望,而是针对这一实验所能验证的概念、原则或理论的简明总结,是从实验结果中归纳出的一般性、概括性的判断,要简练、准确、严谨、客观。 (十二) 鸣谢(可略) 在实验中受到他人的帮助,在报告中以简单语言感谢. (十三) 参考资料 详细列举在实验中所用到的参考资料. 格式: 作者年代书名及页数出版社

实验报告范文模板【三篇】

实验报告范文模板【三篇】 导读:本文实验报告范文模板【三篇】,仅供参考,如果觉得很不错,欢迎点评和分享。 篇一 例一定量分析实验报告格式 (以草酸中h2c2o4含量的测定为例) 实验题目:草酸中h2c2o4含量的测定 实验目的: 学习naoh标准溶液的配制、标定及有关仪器的使用; 学习碱式滴定管的使用,练习滴定操作。 实验原理: h2c2o4为有机弱酸,其ka1=5.9×10-2,ka2=6.4×10-5。常量组分分析时cka1>10-8,cka2>10-8,ka1/ka2<105,可在水溶液中一次性滴定其两步离解的h+: h2c2o4+2naoh===na2c2o4+2h2o 计量点ph值8.4左右,可用酚酞为指示剂。 naoh标准溶液采用间接配制法获得,以邻苯二甲酸氢钾标定:-cook -cooh +naoh=== -cook

-coona +h2o 此反应计量点ph值9.1左右,同样可用酚酞为指示剂。 实验方法: 一、naoh标准溶液的配制与标定 用台式天平称取naoh1g于100ml烧杯中,加50ml蒸馏水,搅拌使其溶解。移入500ml试剂瓶中,再加200ml蒸馏水,摇匀。 准确称取0.4~0.5g邻苯二甲酸氢钾三份,分别置于250ml锥形瓶中,加20~30ml蒸馏水溶解,再加1~2滴0.2%酚酞指示剂,用naoh标准溶液滴定至溶液呈微红色,半分钟不褪色即为终点。 二、h2c2o4含量测定 准确称取0.5g左右草酸试样,置于小烧杯中,加20ml蒸馏水溶解,然后定量地转入100ml容量瓶中,用蒸馏水稀释至刻度,摇匀。 用20ml移液管移取试样溶液于锥形瓶中,加酚酞指示剂1~2滴,用naoh标准溶液滴定至溶液呈微红色,半分钟不褪色即为终点。平行做三次。 实验数据记录与处理: 一、naoh标准溶液的标定 实验编号123备注 mkhc8h4o4/g始读数 终读数

实验报告格式模板

实验报告格式模板 本课程共安排4个实验,每个实验2学时。 实验一 图像直方图均衡化 实验目的: 将低对比度的图像,通过直方图均衡化法进行对比度增强,获得一个均匀分布直方图的输出图像,同时比较处理前后的图像及直方图,以理解直方图均衡化法对图像质量的改善。 实验原理: 直方图均衡化是通过变换函数将原图的直方图调整为平坦的直方图,这样就增加了象素灰度值的动态范围,从而增强图像整体对比度。 设离散图像的象素灰度],[max min f f f ∈,具有1min max +-f f 个灰度级, n 为图像的象素总数,f n 为灰度f 出现的象素个数,则f 出现的概率)(f P f 为: max min ,)(f f f n n f P fi f ≤≤= 离散图像的变换函数表达式为: max min ,)(min f f f n n f T f f i i ≤≤=∑= 直方图均衡化后得到的输出图像的象素灰度为: max min min min max ,)(min f f f g n n g g g f f i i ≤≤+-=∑= 实验程序: 讨论: 比较直方图均衡化处理前后的图像对比度。 实验二 图像平滑滤波器 实验目的: 设计空间域低通滤波和中值滤波程序处理受噪声污染的图像,比较处理前后的图像。 实验原理: 任何一幅未经处理的原始图像,都存在着一定程度的噪声干扰。噪声恶化了图像质量,使图像模糊,甚至淹没特征,给分析带来困难。 图像平滑是指消除图像噪声的工作。其目的主要是改善图像质量和抽出对象特征。

1.低通滤波 ∑∑∈+-+-=),()1,1(),(),(j i s j n i m H j i f n m g 式中),(n m g 为输出的平滑图像,),(j i f 为原始输入图像,H 为L L ?脉冲响应阵列,常用的H 有: 低通滤波可去除噪声,但同时也模糊了图像的边缘或细节。 2.中值滤波 中值滤波是一种非线性滤波技术,是对窗口内象素灰度值的排序运算。首先对窗口内所有象素的灰度值进行排序,然后将排序中值赋予窗口的中心象素。 中值滤波不仅能抑制噪声,还可保护图像的边缘或细节。 实验程序: 讨论: 比较低通滤波和中值滤波的滤波效果。 实验三 图像边缘检测 实验目的: 设计sobel 和prewitt 边缘检测程序,对图像进行边缘检测并比较边缘检测结果。 实验原理: 图像的边缘是图像中不同区域的边界,反映了图像局部特性的不连续性 (灰度突变、颜色突变、纹理结构突变),它标志着一个区域的终结和另一个区域的开始。 边缘检测可借助空域微分算子通过卷积完成。实际上数字图像中求导数是利用差分近似微分来进行的。 梯度算子就是一种空域微分算子。梯度对应一阶导数,梯度算子是一阶导数算子。 对一个连续函数),(y x f ,它在位置),(y x 的梯度可表示为一个矢量: ????? ? ??????????=??????=?y f x f G G y x f y x ),( 这个矢量的幅度(也可直接简称为梯度)和方向角分别为:

综合实验报告的参考格式模板

综合实验报告:对西南证券公司业务经营的调查分析 一、基本情况简介 (一)公司简介: 西南证券股份有限公司是西部地区成立最早的一家综合类证券公司,也是唯一一家注册地在重庆的全国性综合类券商,主营证券经纪、投行业务、自营业务等业务。09年2月,公司通过资产重组,借壳ST长运上市。 (二)公司经营范围: 证券经纪,证券投资咨询,与证券交易、证券投资活动有关的财务顾问,证券承销与保荐,证券自营,证券资产管理,融资融券,证券投资基金代销。 (三)公司组织结构: 西南证券股份有限公司建立了由股东大会、董事会、监事会和经理层组成的相互分离、相互制衡的公司治理结构,使各层次在各自的职责、权限范围内,各司其职,各负其责,确保了公司的规范运作。 公司最高权力机构为股东大会;决策机构为董事会,对股东大会负责;董事会下设战略、审计、风险控制、薪酬与提名、关联交易决策等五个专门委员会,各专门委员会对董事会负责;监督机构为监事会,对股东大会负责;总裁为法定代表人,负责公司经营管理和运作。

(四)近三年主要指标: 1.证券公司财务指标排名情况 2010年2009年2008年 排名金额(万元)排名金额(万元)排名金额(万元) 总资产23 2,277,820 37 1,497,034 40 802,747 净资产12 1,112,342 24 467,769 24 331,594 净资本12 912,126 25 352,535 29 253,453 营业收入27 193,527 27 205,286 43 70,398 净利润25 80,540 24 100,716 53 14,206 客户交易结 算资金余额32 1,095,010 46 964,115 51 50,019 代理买卖证 券业务净入48 78,571 52 89,455 48 443,533 由上表可以看出,在106个证券公司的排名中,比较可以发现西南证券股份有限公司总资产、净资产、净资本、营业收入及净利润排名比较靠前,而后两个排名保持在中等水平,有待进一步加强。 西南证券股份有限公司各项财务指标金额在近三年增长较快,总资产由2008年80亿增长到2010年228亿,可见其业务发展状况良好,有较强的发展潜力,其客户交易结算资金余额数额较大,可见在其业务中为主要业务,而代理买卖证券收入较少,在总体排名

实验报告格式参考模板文档

实验报告格式参考模板文档 Refer to the template document for the format of experi ment report

实验报告格式参考模板文档 小泰温馨提示:实验报告是把实验的目的、方法、过程、结果等记录 下来,经过整理,写成的书面汇报。本文档根据实验报告内容要求展 开说明,具有实践指导意义,便于学习和使用,本文下载后内容可随 意修改调整及打印。 实验名称:粉体真密度的测定 粉体真密度是粉体质量与其真体积之比值,其真体积不 包括存在于粉体颗粒内部的封闭空洞。所以,测定粉体的真密度必须采用无孔材料。根据测定介质的不同,粉体真密度的主要测定方法可分为气体容积法和浸液法。 气体容积法是以气体取代液体测定试样所排出的体积。 此法排除了浸液法对试样溶解的可能性,具有不损坏试样的优点。但测定时易受温度的影响,还需注意漏气问题。气体容积法又分为定容积法与不定容积法。 浸液法是将粉末浸入在易润湿颗粒表面的浸液中,测定 其所排除液体的体积。此法必须真空脱气以完全排除气泡。真空脱气操作可采用加热(煮沸)法和减压法,或两法同时并用。浸液法主要有比重瓶法和悬吊法。其中,比重瓶法具有仪器简

单、操作方便、结果可靠等优点,已成为目前应用较多的测定真密度的方法之一。因此,本实验采用比重瓶法。 一.实验目的 1.了解粉体真密度的概念及其在科研与生产中的作用; 2.掌握浸液法—比重瓶法测定粉末真密度的原理及方法; 3.通过实验方案设计,提高分析问题和解决问题的能力。二.实验原理 比重瓶法测定粉体真密度基于“阿基米德原理”。将待测粉末浸入对其润湿而不溶解的浸液中,抽真空除气泡,求出粉末试样从已知容量的容器中排出已知密度的液体,就可计算所测粉末的真密度。真密度ρ计算式为: 式中:m0——比重瓶的质重,g; ms——(比重瓶+粉体)的质重,g; msl——(比重瓶+液体)的质重,g; ρl——测定温度下浸液密度;g/cm3;ρ——粉体的真密度,g/cm3; 三.实验器材: 实验仪器:真空干燥器,比重瓶(2-4个);分析天平;烧杯。实验原料:金刚砂。

相关主题
文本预览
相关文档 最新文档