当前位置:文档之家› 广东工业大学彩灯循环控制课程设计

广东工业大学彩灯循环控制课程设计

摘要

在我们生活中,在商业中心,休闲广场,随处可见各式各样的彩灯,这些彩灯自动循环完成花式变换,彩灯绚丽多彩,其实都是由一系列的彩灯构成的,无论颜色各异还是花式多样,赏心悦目的背后,都是的电路设计控制,或采用单片机程序,或使用逻辑电路实现。本课程设计采用几块74系列数字芯片等设计的电路,按照一定次序逐次一个或者多个点亮和熄灭。设计的电路应用到了数字逻辑电路,在一定的逻辑下自动运行。本次实验要求进行多花样自动切换的彩灯控制器设计,彩灯从右到左,然后从左到右逐次点亮,全灭全亮等六种花型,这六种花样自动变换,循环往复,而且具有按键快慢两档调节,变阻器连续调节两种快慢调节方式,还有复位,暂停/开始按键功能。该实验将会用到脉冲信号产生电路、计数电路、控制电路、移位寄存电路及其他门电路和显示彩灯。

关键词:彩灯循环自动运行六种花型快慢调节功能

1 设计任务目的与要求

1.1 设计任务目的

1.在课程设计过程中,计算参数和查阅设计资料、学习仿真软件.

2.掌握移位寄存器的移位,置位功能设计方法。

3.掌握TTL集成电路驱动发光二极管的设计方法。

4.掌握数字电路的设计,安装和调试。

1.2 设计任务要求

1. 8路彩灯能够自动循环点亮;

2. 要有多种花型变化(至少设计6种);

3. 多种花型可以自动变换,循环往复;

4. 彩灯循环显示分为快慢两种方式可以选择;

3. 该控制电路应有启动、停止和复位按钮。

说明:在六种花型中必须包含以下三种基本花型,其它三种任意发挥

基本花型一:从左至右逐次点亮至全亮,逐次熄灭至全熄

基本花型二:从右至左逐次点亮至全亮,逐次熄灭至全熄

基本花型三;全亮→全熄→全亮→全熄→全亮→全熄,共六个节拍

扩展花型一:8路灯分两半,从左至右依次渐亮,全亮后从左至右依次渐灭

扩展花型二:从中间到两边对称地逐次渐亮,全亮后从中间到两边逐次渐灭扩展花型三:8路灯分两半,从右至左依次渐亮,全亮后从右至左依次渐灭

2 模块及其原理介绍

2.1 计数器74161

74161型四位同步二进制可预置计数器的外引线排列

图及其逻辑符号,其中CLRN是直接清零端,LDN是

预置数控制端,A3A2A1A0是预置数据输入端,EP和

ET是计数控制端,是计数输出端,RCO是进位输出端。

功能表如下所示:

2.2 移位寄存器74194

74194是四位双向移位寄存器,其中ABCD的并行输入端,CLRN是直接置零端,S0,S1是移位控制端,SLSI,SRSI是移

位进位端,QA~QD是四路输出端。功能表如下:

2.3 双D触发器7474

74LS74内含两个独立的上升沿双D触发器,每个触发器有数据输入D、置位输入PRN复位输入CLRN、时钟输入CLK和数据输出Q。PRN和CLRN的低电平使输出预置或清除,而与其它输入

端的电平无关。当PRN、CLRN 均无效(高电平式)时,符合建立时间要求的D数据在CP上升沿作用下传送到输出端。

功能表如下:

2.4 数据选择器74151

74LS151是一种典型的集成数据选择器。74LS151有三个地址端A2 A1 A0。可选择D0~D7八个数据,具有两个互补输出端W和Y 。功能表如下:

2.5 NE555

NE555 (Timer IC)为8脚时基集成电路。

5脚经0.01uF电容接地,比较器C1和C2的比较电

压为:UR1=2/3VCC、UR2=1/3VCC。当VI1>2/3VCC,

VI2>1/3VCC时,比较器C1输出低电平,比较器C2

输出高电平,基本RS触发器置0,G3输出高电平,

放电三极管TD导通,定时器输出低电平。当

VI1<2/3VCC,VI2>1/3VCC时,比较器C1输出高

电平,比较器C2输出高电平,基本RS触发器保持

原状态不变,555定时器输出状态保持不来。

当VI1>2/3VCC,VI2<1/3VCC时,比较器C1输出

低电平,比较器C2输出低电平,基本RS触发器两

端都被置1,G3输出低电平,放电三极管TD截止,

定时器输出高电平。当VI1<2/3VCC,

VI2<1/3VCC时,比较器C1输出高电平,比较器C2

输出低电平,基本RS触发器置1,G3输出低电平,

放电三极管TD截止,定时器输出高电平。

3 设计方案

3.1 设计思想

电路共分四大模块:(1)时钟信号模块;(2)节拍控制模块;(3)计数模块;(4)演示模块。

时钟信号产生采用555定时器组成的振荡器,产生高低电平,且脉冲信号的频率可调。节拍控制模块用一片双D触发器7474和一片数据选择器74151构成,把节拍脉冲进过D触发器后节拍脉冲分了一倍频,相当于慢一个节拍,把快慢节拍输入数据选择器74151的D0,D1,然后把B、C端接地,A端用自锁开关控制接高电平还是低电平,就可以达到节拍快慢的选择。计数模块用两片计数器74161连接,低位进位输入高位的ST,连成256进制计数器,花型演示模块用两片移位寄存器74194来控制8盏LED彩灯,并用与非门将输出的控制信号输入到74194的S1,S2,SR,SL端来控制不用花型的演示。。

3.2 设计框图

3.3 流程图

3.4 各单元电路原理图整体电路图:

时钟信号产生电路图:

节拍控制电路图:

计数器电路图

花型演示电路:

4 实验结果与数据分析

4.1 测试步骤

1.时序仿真、功能仿真;

2.下载到DE2板验证;

4.2 实验现象

时序仿真

功能仿真

4.3 数据分析

六种花型

(基本)花型1:8路灯为一整体,从左至右依次渐亮,全亮后从左至右依次渐灭。

(扩展)花型2:8路灯分两半,从左至右依次渐亮,全亮后从左至右依次渐灭。

(扩展)花型3:从中间到两边对称地逐次渐亮,全亮后从中间到两边逐次渐灭。

(基本)花型4:8路灯为一整体,从右至左依次渐亮,全亮后从右至左依次渐灭。

(扩展)花型5:8路灯分两半,从右至左依次渐亮,全亮后从右至左依次渐灭。

(基本)花型6:全亮→全熄→全亮→全熄→全亮→全熄,共六个节拍。

输出状态真值表:

要每种花型完整的显示一遍,所以完全显示一遍需要的总结拍数为64,即1到16显示第一种花型,17到24显示第二种花型,25到32显示第三种花型,33到48显示第四种花型,49到56显示第五种花型,57到62显示第六种花型。

要用194实现六种花型的连续显示必须对两片194的S1、S0和SL、SR依据节拍的变化进行相应的改变。现将两片194分为低位片1和高位片2,再将其输出端从低位到高位记为L1--L8。列出各花型和其对应的194的S1、S0、SL、SR的输入信号及节拍控制信号列表如下:

经过分析可以得到控制194高低位片的左移右移变化的控制量。用QA至QH表示161从低位到高位的输出端。

控制结果表达式如下:

5 结论与问题讨论

5.1 完成设计要求的程度(功能全部实现)

1.有6种花型变化;

2.多种花型可以自动变换,循环往复;

3.彩灯循环显示分为快慢两种方式可以选择(两档调节和连续调节);

4.该控制电路有启动、停止和复位按钮。

5.2 遇到的问题及解决方法

1.在实现第六种花型时:当74194的S0,S1都为1时有并行输入的功能,想把并行输入端接到时钟clock,到达第六种花型节拍时控制S0,S1都为1,就可以实现一亮一灭,后来仿真无法实现。

解决办法:由于S0,S1都为1时相当于触发器,在时钟上升沿到来时才改变状态,如果并行输入接时钟的话,输出都是低电平,把时钟信号通过D触发器分一倍频,就解决问题。2.在制作PCB时在用熨斗把打印的图形熨到铜板上时,很难控制熨的时间,导致撕掉纸时没法完全把图印上去,导致图形不完整。

解决办法:用笔对照着图把缺失的部分画上去。

5.3 存在的不足及改进思路

1.整组都被老师说到门电路太多,电路复杂,布线较乱。逻辑门都是经过真值表计算得出,无法避免,只能在门的种类上下功夫,减少芯片使用,例如三输入与非门可以用双输入与非门代替,非门用与非门代替,实现芯片统一。

2.穿孔比较多,钻孔比较费时,画图技术有待提高。

3.所用LED为蓝色,颜色有点“亮瞎眼”的感觉,应该采用红色等比较温和的发光二极管,或者多颜色结合,更加美观。也可用贴片的LED,不过要处理电压不一样的问题。

6 实物制作

6.1 元器件参数及型号选择

74LS161(四位二进制同步计数器) 2个;74LS194(移位寄存器) 2个;74LS151(八选一数据选择器) 1个;74LS74(双D 触发器) 1个;74LS00(四双输入与非门) 5个;74HC10(三输入与非门) 2个;蓝色LED 8个;NE555 1个;10μf电容: 1个;0.01μf 电容 1个;100kΩ滑动变阻器 1个;470Ω电阻 1个;

6.2简化电路图(给出Quartus下的原理电路截图)

6.3 单元电路的实现(比如产生高低电平电路、秒脉冲发生电路等等,给出电路图及相应元器件的型号和参数)

时钟信号模块:

节拍模块:

计数模块:

演示模块:

总电路图:

6.4 实物图(附照片)

6.5 焊接调试过程中遇到的问题分析及处理

将一个16脚的芯片底座不小心用了一个14脚的底座,调试时功能不实现才发现。准备拆掉重新拿一个16脚的底座,又觉得太麻烦而且弄了就像伤疤一样不好看,最后用两个单独的一个孔的底座加上,重新插上芯片搞定。还有在按暂停按键时发现停不下来,检查电路又找不到问题,多次按之后发现不松手可以暂停,又此判断自锁开关失去自锁功能,后来换了一个自锁开关也就问题迎刃而解。

6.6 实物电路板的测试结果及分析

以上由于过程不细心导致的错误检查解决之后,插上5V移动电源,等依次按预想亮起,功能全部实现:三种不同花型(按照老师要求由原来的六种简化为三种),自动循环,快慢可调,暂停/开始,复位。几个循环之后仍然可以稳定工作,按要求完成了本次课程设计。分析上面已经基本分析到位,在此不做重复。

7参考文献

[1] 阎石.数字电子技术基础(第五版),高等教育出版

[2] 彭端.电工与电子技术实验教程,武汉大学出版社

[3] 周新民.工程实训与训练教程(电工电子部分),武汉理工大学出版社

[4] 梁宗善.新型集成电路的应用-电子技术基础课程设计,华中理工大学出版社

[5] 陈永甫主编.数字电路基础及快速识图.人民邮电出版社

[6] 刘修文主编.实用电子电路设计制作300例.中国电力出版社

循环彩灯控制器的设计课程设计

循环彩灯控制器的设计课程设计 循环彩灯控制器设计课程设计 设计目的: 本课程设计的目的是让学生学习如何设计一个简单的循环彩灯控制器。通过学习这个课程,学生将了解控制器的工作原理、电路设计、软件编程等方面的知识,并且掌握一定的实践操作能力。 课程目标: 1. 理解循环彩灯控制器的工作原理; 2. 掌握电路设计原理和方法; 3. 掌握单片机程序设计方法; 4. 能够独立设计循环彩灯控制器电路和程序。 课程大纲: 第一章循环彩灯控制器的工作原理 1.1 循环彩灯的基本原理; 1.2 循环彩灯控制器的基本原理; 1.3 循环彩灯控制器的分类。 第二章电路设计

2.1 循环彩灯控制器电路的组成; 2.2 电路元件的选型和参数计算; 2.3 建立例程进行电路仿真; 2.4 布局设计; 2.5 原理图绘制。 第三章单片机程序设计 3.1 概述C语言程序设计基础; 3.2 循环彩灯控制器程序的基本流程; 3.3 控制器的主程序设计; 3.4 IO口和定时器的编程; 3.5 中断优化程序设计。 第四章循环彩灯控制器的实现 4.1 控制器电路板的焊接和测试; 4.2 单片机软硬件程序烧录; 4.3 硬件调试; 4.4 软件调试。 设计流程: 1. 理解循环彩灯控制器的工作原理。在听讲、课外阅读和答疑互动等多种形式下,加强对循环彩灯、循环彩灯控制器的工作原理、分类等方面的理解。 2. 电路设计。采用理论教学和实践操作相结合的方式,按照课

程大纲的要求,进行电路设计,包括电路元件的选型和参数计算、建立例程进行电路仿真、布局设计、原理图绘制等环节。 3. 单片机程序设计。理解C语言程序设计的基本概念和流程,在掌握单片机程序设计方法以后,独立完成循环彩灯控制器程序的编写,并利用仿真软件进行调试。 4. 循环彩灯控制器的实现。根据设计流程,焊接电路板并进行测试,根据需要对电路板和程序进行调试和优化,最终实现循环彩灯控制器。 实验教学: 在课程教学中,通过多样化的实验教学方式,激发学生的学习兴趣和主动性,提高学生的实践操作能力。实验教学包括仿真实验、硬件实验、电路调试和程序调试等。 总结: 本课程设计着眼于解决学生在控制器设计方面的知识和实践能力问题,同时也是一种培养学生探究与创新精神、实践操作能力和团队协作能力的有效途径。课程教学要求学生不仅掌握相关知识,而且要熟悉实际操作环节,提高学生的综合素质和创新能力。

广东工业大学彩灯循环控制课程设计

摘要 在我们生活中,在商业中心,休闲广场,随处可见各式各样的彩灯,这些彩灯自动循环完成花式变换,彩灯绚丽多彩,其实都是由一系列的彩灯构成的,无论颜色各异还是花式多样,赏心悦目的背后,都是的电路设计控制,或采用单片机程序,或使用逻辑电路实现。本课程设计采用几块74系列数字芯片等设计的电路,按照一定次序逐次一个或者多个点亮和熄灭。设计的电路应用到了数字逻辑电路,在一定的逻辑下自动运行。本次实验要求进行多花样自动切换的彩灯控制器设计,彩灯从右到左,然后从左到右逐次点亮,全灭全亮等六种花型,这六种花样自动变换,循环往复,而且具有按键快慢两档调节,变阻器连续调节两种快慢调节方式,还有复位,暂停/开始按键功能。该实验将会用到脉冲信号产生电路、计数电路、控制电路、移位寄存电路及其他门电路和显示彩灯。 关键词:彩灯循环自动运行六种花型快慢调节功能

1 设计任务目的与要求 1.1 设计任务目的 1.在课程设计过程中,计算参数和查阅设计资料、学习仿真软件. 2.掌握移位寄存器的移位,置位功能设计方法。 3.掌握TTL集成电路驱动发光二极管的设计方法。 4.掌握数字电路的设计,安装和调试。 1.2 设计任务要求 1. 8路彩灯能够自动循环点亮; 2. 要有多种花型变化(至少设计6种); 3. 多种花型可以自动变换,循环往复; 4. 彩灯循环显示分为快慢两种方式可以选择; 3. 该控制电路应有启动、停止和复位按钮。 说明:在六种花型中必须包含以下三种基本花型,其它三种任意发挥 基本花型一:从左至右逐次点亮至全亮,逐次熄灭至全熄 基本花型二:从右至左逐次点亮至全亮,逐次熄灭至全熄 基本花型三;全亮→全熄→全亮→全熄→全亮→全熄,共六个节拍 扩展花型一:8路灯分两半,从左至右依次渐亮,全亮后从左至右依次渐灭 扩展花型二:从中间到两边对称地逐次渐亮,全亮后从中间到两边逐次渐灭扩展花型三:8路灯分两半,从右至左依次渐亮,全亮后从右至左依次渐灭

彩灯循环控制电路的设计与制作

目录 摘要 (2) 第一章系统组成及工作原理 (3) 1.1总体设计思路 (3) 1.2基本原理 (3) 1.3电路框图 (3) 第二章循环发光器的系统组成 (4) 2.1方案一 (4) 2.2方案二 (6) 2.3方案比较与选择 (8) 第三章循环电路的总体设计 (9) 3.1由74L S194及555定时器组成的功能图 (9) 3.2由74L S138及74L S192组成的移位寄存电路 (9) 第四章实验结果的调试及检测 (11) 4.1调试使用的主要仪器 (11) 4.2调试技巧的方法 (11) 4.3调试中出现的故障、原因及排除方法 (11) 第五章总结 (12) 第六章附录 (12) 附录一 (12) 附录二 (12) 附录三 (13) 评分表 (14)

摘要 本次循环控制彩灯电路的制作主要采用74LS194 芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。本次主要为全亮全灭及左右移动的功能。 关键词:控制、循环、555定时电路

彩灯循环控制电路的设计与制作 第一章 系统组成及工作原理 1.1 总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯左右移及全灭全亮功能输出电路。时钟脉冲产生电路由555定时电路组成多谐振荡触发器产生连续始终脉冲,循环控制电路采用74LS194实现。方案二中,主要是采用二进制译码器74LS138 及中规模集成电路74LS192实现彩灯的循环控制。 1.2 基本原理 本次实验主要是通过两片双向移位寄存器74LS194来实现彩灯电路的循环控制,通过555定时电路来产生连续时钟脉冲进行信号的输入,由外围开关控制信号的移动方向,实现左移、右移及全灭全亮功能。 1.3 框图 图1-1 设计框图

广东工业大学单片机课程设计报告

广东工业大学单片机课程设计报告 一、实验目的、要求 掌握单片机的开发应用,巩固、加深已学过的知识,提高动手能力及解决实际问题的能力。 要求用AT89S52芯片控制彩灯(流水灯)图形。即AT89S52单片机上点工作时控制由发光二极管组成的图形有规律地不停闪烁;当按下按钮时彩灯图形全灭,而继电器工作吸合(控制~220V 30W灯泡亮)5秒,5秒后返回彩灯闪烁(若再次按下按钮,彩灯图形又全灭,而继电器又能工作吸合5秒,5秒后又回到彩灯闪烁)。也就是说每当按下按钮时,彩灯都能全灭,继电器能吸合5秒,5秒后能回到彩灯图形的闪烁。按此要求: ①设计并绘制硬件电路图,电路图应包含强电控制部分,做实 物板时强电部分可省略; ②制作实物板; ③编写程序并将调试好的程序固化实物板中的单片机中。硬件 (电路图、元器件布置、排线、元器件的焊接)及软件(程 序设计、编写)均由同学们自己完成。程序编写完后进行调 试,调试成功后将程序烧录到单片机内。实物板通电检查是 否能正确运行,如果不行,要找出问题并解决它。 二、实验仪器设备与器材

三、硬件方案 1、设计思想 用单片机P口实现流水灯,先从9~0进行倒数后出现“2012”和“gdut”字样。当按下按钮,继电器吸合,中断响应,延时5秒,然后返回主程序继续运行。

3、工作原理 用单片机P口实现流水灯,先从9~0进行倒数后出现“2012”和“gdut”字样。当按下按钮,继电器吸合,中断响应,延时5秒,然后返回主程序继续运行。

四、软件方案 1、程序流程图主程序中断程序

2、程序清单 ORG 0000H AJMP MAIN ORG 0003H AJMP INT_0 ORG 000BH AJMP T_0 ORG 001BH AJMP T_1 ORG 0030H MAIN:MOV R0,#14H MOV R1,#16H MOV R2,#00H

彩灯循环控制电路的设计与制作

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师

年月日 目录 摘要 (3) 1设计任务及要求 (4) 2方案设计及选择 (4) 2.1 方案设计 (4) 2.2 方案的比较与选择 (10) 3单元电路的设计 (11) 4 整体电路图及原理 (17) 4.1整体电路图 (17) 4.2工作原理 (18) 5 电路调试及结果分析 (18) 5.1调试 (18) 6 结果评价与改进方法 (19) 6.1结果评价 (19) 6.2改进方法 (19) 7总结 (19) 8参考文献 (20)

摘要 多组彩灯按照一定的顺序点亮构成的电路具有很高的观赏性,在生活中有着很广泛的应用,例如广告牌,霓虹灯等。本设计中彩灯控制器可用于对霓虹灯或彩灯及节日字灯的控制,本次课程设计将对设计框图、设计电路图、单元电路图、工作原理、所用器件、电路调试等方面进行介绍,最后对本次课程设计进行总结。 关键词:循环,计数,单元电路

彩灯循环控制电路的设计与制作 1设计任务及要求 (1)8个彩灯能够自动循环点亮。 (2)彩灯循环显示且频率快慢为1S。 选作:设计具有控制彩灯左移,右移,全亮及全灭功能的电路2方案设计及选择 2.1 方案设计 2.1.1 方案一 根据设计要求,使用计数器来实现循环,设计电路使其可实现以下功能

1)彩灯右移依次点亮的循环; 0101 2 )彩灯左移依次点亮的循环: 0111 0110 0100 0011 0010 3)彩灯全灭的功能; 00000000 设计方案原理图

各单元电路所用器件为: 1)脉冲发生器:使用555构成的多谐振荡电路产生频率为1Hz 的脉冲信号; 2)计数器电路:使用一片74LS192实现计数功能; 3)译码器电路:使用一片74LS138来实现译码功能; 4)逻辑门芯片:使用一片7420与非门芯片和两片7404非门芯片实现上述三种功能。

课程设计方案彩灯循环控制器电路图实验报告

内蒙古农业大学 课程设计 课程名称电子技术综合设计与实训 题目名称彩灯变换控制器设计 学生学院机电工程学院 专业班级电气工程及其自动化1班 学号090511060 学生姓名江谋伟 指导教师曲辉 2018年12月12日

课程设计的内容 设计任务及要求 用一个LED数码管的每一段代表一组彩灯。 按数字循环显示3种序列:自然序列1,2,3,4,··· 奇数序列1,3,5,7 偶数序列0,2,4,6, ①具有显示,清零功能。 ②数码管现实快慢连续调节<即计数时钟方波频率可调,0.5~ 2HZ) 摘要 这次的课程设计主要是用计数器来实现的,这个彩灯循环控制电路的实质就是要产生一系列有规律的数列,然后通过一个八段数码管显示出来。这里使用的主要就是计数器,计数器在时序电路中应用的很广泛,它不仅可以用于对脉冲进行计数,还可用于定时,产生节拍脉冲以及其他时序信号。运用计数器的不同的功能和不同的接发就可以实现不同的序列输出了。 而这次的内容还包括分电路图的整合,使这个彩灯循环显示器能够按照要求那个依次输出自然序列,奇数序列,偶数序列。 最后还有一个部分就是用7447译码器把74160输出的信号用数码管显示出来。 一前言 让人眼花撩乱的广告彩灯随处可见,他将城市的夜晚装扮的美丽迷人,商家已无法离开广告彩灯这一非常有效的宣传武器。利用价格低廉的普通集成芯片可实现很多种广告彩灯控制。例如用单片机,8086,8088等高级芯片,实现彩灯的循环点亮等,本设计利用常用的555定时器及74ls系列器件设计8路彩灯电

路。 二原理框图 三芯片功能介绍 1)555定时器 555定时器是8引脚集成器件,其工作原理为:输出电压只有两种状态:高电平低电平.在555定制器的复位端R 端为低电平的条件下,无论阈值输入端TH和触发输入端TB>Tc 取何值,输出端OUT输出低电平,且放电端口D与地接通。当复位端R为高电平时,如果阈值电压TH>2Vcc/3,且触发电压TR>Vcc/3,输出端OUT输出低电平,且放电端口D与地接通;如果阈值嗲电压THVcc/3,输出端OUT和放电端D保持原状态不变:只要触发电压TR*c

彩灯循环显示控制器vhdl

课程设计 课程名称_电子技术综合设计与实训 题目名称__彩灯循环显示控制器___ 学生学院___自动化学院________ 专业班级电子信息科学与技术09级1班__ 学号_____3109001160________ 学生姓名______刘运可___________ 指导教师_______夏益民________ 2011年9月16日

广东工业大学课程设计任务书 题目名称彩循环显示控制器 学生学院自动化学院 专业班级电子信息科学与技术09级1班 姓名刘运可 学号3109001160 一、课程设计的内容 利用各种数字逻辑器件设计一个彩灯循环控制器二、课程设计的要求与数据 1)彩灯能够自动循环点亮 2)彩灯循环显示且频率快慢可调。 3)该控制电路具有8路以上输出 三、课程设计应完成的工作 1)利用各种数值逻辑器件设计一个彩灯循环控制器; 2)利用DE2板对所设计的电路进行验证; 3)总结电路设计结果,撰写课程设计报告.

四、课程设计进程安排 五、应收集的资料及主要参考文献 [1] 阎石等. 数字电子技术基础[M]. 北京: 高等教育出版社, 2006: 160-345. 发出任务书日期: 2011 年 09 月 13 日指导教师签名: 计划完成日期: 2011 年 09 月 18 日基层教学单位责任人签章: 主管院长签章:

摘要 彩灯循环控制器可以自动控制彩灯循环的频率快慢,可以按照两种不同的方向循环。具有设置不同频率和控制方向的按键。可按自己的选择方向循环。实现彩灯循环的是用74LS191同步十六加法/减法计数器。控制74LS191的使能端DNUP 的高低电平来改变彩灯循环的循环方向。用74LS292分频器来控制彩灯循环频率的快慢,其输入是接DE2板的50MHz的时钟信号。通过74LS154译码器连接到DE2板上的发光二极管。组成16路的彩灯循环控制器。 关键词:彩灯循环频率快慢。

毕业设计55彩灯循环显示控制电路设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 彩灯循环显示控制电路设计 初始条件: 课程设计指导老师,电工电子实验室, EWB仿真软件,参考资料等,74LS160十进制同步计数器,74LS194移位寄存器,D触发器,显示管,要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写 等具体要求) (1)以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、 1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列), 0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐 符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号 数列…….,如此周而复始,不断循环。 (2)打开电源时,控制器可自动清零。 (3)每个数字的一次显示时间基本相等,这个时间在0.5s到2s范围内连续可调。 时间安排: 第17周(7、8节):理论讲解,新1-02 第18~19周:理论设计及实验室安装调试; 地点:鉴主15通信工程实验室(1),鉴主13通信工程专业实验室; 第20周:撰写设计报告及答辩;地点:鉴主17楼研究室。 指导教师签名:2008年6月2日系主任(或责任教师)签名:年月日

目录 摘要 (2) 1.方案设计 (3) 1.1 方案比较 (3) 1.2 方案选择 (4) 2.单元电路的设计 (4) 2.1主要芯片 (4) 2.1.1十进制计数器74LS160 (4) 2.1.2 移位寄存器74 LS194 (5) 2.1.3 LED显示数码管 (6) 2.2自然序列循环发生器 (7) 2.3奇数序列循环发生器 (9) 2.4偶数序列循环发生器 (10) 2.5音乐序列循环发生器 (11) 2.6 循环控制电路 (13) 2.7分频器 (14) 2.8 振荡脉冲发生器 (15) 2.9 复位电路 (16) 3.总体电路及工作原理 (17) 3.1总体电路图 (17) 3.2工作原理 (17) 4.仿真结果 (18) 4.1 振荡脉冲发生器仿真 (18) 4.2分频器 (19) 4.3 复位电路 (20) 4.4 总电路 (20) 5 . 体会 (21) 6.元件清单 (22) 7.参考文献 (23)

彩灯循环控制电路的设计与制作

摘要 本次课程设计的任务是设计一个八个彩灯循环点亮电路.然而随着集成电路的迅猛发展,使得数字逻辑电路的设计出现了根本性的变化,使得我们在日常的电路设计中可以大大的被简化,减少电路组件的数目,从而使电路简捷,而且还能够提高电路的可靠性,稳定性. 根据我的理解,控制彩灯的循环点亮就是产生一系列有规律的数列,从而通过这一系列的数列来控制八个彩灯的循环点亮.在数字电路的理论课上,我们知道产生有规律的数列需要用到计数器或是移位寄存器.实际上,在该实验中二者皆可以用来设计该电路,只是各自的工作方式有区别而已.因此,通过计数器或移位寄存器控制彩灯的循环点亮. 其次,即使脉冲产生电路,我们知道555定时器可以作为多谐振荡器,并且利用555定时器设计的多谐振荡器产生的序列脉冲受干扰小,稳定性高,我们只需要选定相应的电阻和电容来控制周期就可以很好的控制彩灯显示频率. 最后,彩灯显示电路采取并联接法,利用产生的数列来控制彩灯的亮暗.再就是将以上三部分电路组合起来,从而构成完整电路,达到设计目标. 关键字:数字逻辑电路;彩灯循环控制;集成芯片;

彩灯循环控制电路的设计与制作 1.结构设计与方案选择 1.1 方案设计 1.1.1 设计方案一 1)二进制双向计数电路: 图2 74LS192引脚图 74LS192的功能表:

表一 74LS192的功能表 利用芯片74LS192,通过了解它的功能表,我们知道它既可以作为加数器和减数器,因此利用这一特性,可以通过控制芯片74LS194的置数功能:当需要循环点亮左移时,我们可以给四个置数端0123p p p p 置数为0000,并且控制1u CP =,D CP CP =,0MR =,从而构成加计数器,使输出0123Q Q Q Q 为0000000100100011...→→→→; 同理,我们要实现彩灯循环点亮右移时,给四个置数端0123p p p p 置数为0111,控制u CP CP =,1D CP =,0MR =,从而构成减计数器,使输出为0123Q Q Q Q 为0111011001010100...→→→→;因此,通过上面的叙述我们通过对74LS194的控制来产生有规律的数字序列. 2)3线-8线译码器: 图3 74LS138的引脚图 74LS138的功能表:

彩灯控制课程设计

综述 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。通过彩灯的设计,训练对,电气、电子技术等内容的应用能力。主要培养学生综合应用所学知识与技能分析与解决问题的能力。数字电子技术主要研究各种逻辑门电路、集成器件的功能及其应用,逻辑门电路组合和时序电路的分析和设计、集成芯片各脚功能、555定时器等。随着计算机科学与技术的飞速发展用数字电路进行信号的处理的优势也更加突出。为了充分发挥和利用数字电路在信号处理上的强大功能,通常先将模拟信号按比例转换成数字信号,然后送到数字电路进行处理,最后再将处理结果根据需要转换未响应的模拟信号输出。自20世纪70年代开始,这种数字电路处理模拟信号的所谓数字化浪潮已经席卷了电子技术几乎所有的应用领域。 1设计总体思路 1.1 设计思路 总体思路:总体电路共分为三大块。第一块实现时钟信号的产生;第二块实现亮灯顺序的控制(简称控制电路);第三块实现有规律亮灯灭灯的演示。 主体框图如下: 图1—1

2 设计方案与分析 2.1 设计方案 1.按课程设计的题目来看,要实现彩灯的21种状态(全亮---奇数灯依次灭---偶数灯依次灭---依次亮—依次灭---全亮---全灭),此处可以用21进制的计数器实现,从0到20来控制这21中状态(00000-10010),21进制的计数器可以两片单时钟同步十六进制计数器74LS191采用同步置数法构成。然后把计数器用译码器译成可实现的高低电平,其中译码器是利用5片3-8译码器74LS1138构成5-32译码器。再写出这21种状态和计数器数字对应的真值表,计算出逻辑表达式,便可实现题目要求的彩灯控制。 电路流程图: 图2—1 2.2 计数器真值表 由21进制计数器输入一个五位二进制数(00000-10010),输出彩灯所对应的状态(1表示灯亮,0表示灯灭),对应的真值表如下:

彩灯控制器课程设计

彩灯控制器课程设计 彩灯控制器课程设计 引言: 彩灯控制器是一种用于控制彩色灯光变化的设备,广泛应用于舞台演出、建筑照明、节日庆典等场合。本课程设计旨在通过学习彩灯控制器的原理和实现方式,培养学生对电路设计、嵌入式系统开发和信号处理的能力。本文将从课程目标、教学内容、教学方法和评价方式四个方面详细介绍彩灯控制器课程设计。 一、课程目标 本课程设计的目标是让学生掌握以下能力: 1. 理解彩灯控制器的基本原理,包括LED驱动电路、信号处理和通信协议等; 2. 掌握彩灯控制器的硬件设计方法,包括电路图设计、PCB布局和焊接技术等; 3. 掌握彩灯控制器的软件开发方法,包括嵌入式系统编程和信号处理算法实现等; 4. 运用所学知识设计和实现一个具有一定功能的彩灯控制器原型。 二、教学内容

本课程设计包括以下几个主要内容: 1. 彩灯控制器的基本原理:介绍LED的工作原理、驱动电路的设计和信号处理的基本概念; 2. 彩灯控制器的硬件设计:包括电路图设计、PCB布局和焊接技术等; 3. 彩灯控制器的软件开发:包括嵌入式系统编程和信号处理算法实现等; 4. 彩灯控制器的功能实现:设计和实现一个具有一定功能的彩灯控制 器原型; 5. 实验与调试:通过实验和调试,验证彩灯控制器的性能和稳定性。 三、教学方法 1. 理论讲解:通过课堂讲解,介绍彩灯控制器的基本原理和相关知识点; 2. 设计实践:学生分组进行彩灯控制器硬件和软件设计,并完成一个 小型彩灯控制器原型; 3. 实验演示:教师进行实验演示,展示彩灯控制器的功能和效果; 4. 课堂讨论:引导学生进行课堂讨论,分享彩灯控制器设计中遇到的 问题和解决方案; 5. 项目评审:对学生设计的彩灯控制器原型进行评审,评价其性能和 创新性。 四、评价方式 本课程设计的评价方式包括以下几个方面:

彩灯广告屏的PLC控制课程设计报告

彩灯广告屏的PLC控制课程设计报 告 一、实验目的 本次课程设计主要是为了掌握PLC 流程控制和通讯控制的基本原理,了解彩灯广告屏的工作原理,设计一个完整的PLC控制方案,并实现控制彩灯广告屏的各种功能。 二、实验器材 1.步进电机驱动器 2.步进电机 3.PLC 4.电源 5.热缩管 6.耐火线 7.继电器 8.电流表 三、PLC 编程 1.PLC接口设置

首先,我们需要设置PLC的接口,以便实现与彩灯广告屏的通信。为此,我们需要连接PLC和彩灯广告屏的通信线路。通信线路的连接方式如下: PLC的通信接口连接到彩灯广告屏的通信接口,然后将热缩管套在连接处,并用耐火线缠绕上去,以防止导线接触不良。 2.程序设计 为了控制彩灯广告屏的各种功能,我们需要设计一个PLC 程序,以控制步进电机的运动、控制继电器的开关等功能。 PLC的程序设计需要我们进行以下步骤: 1.建立一个文件夹,用于存放PLC程序。 2.打开PLC编程软件,并建立一个新程序。 3.为程序设置输入和输出变量,以实现PLC和彩灯广告屏之间的通信。 4.开始进行具体的程序设计,实现各种功能。 5.完成程序设计后,保存程序并上传到PLC中。 四、PLC 控制彩灯广告屏的功能实现 1.彩灯广告屏的开关控制 为了实现彩灯广告屏的开关控制,我们可以通过PLC的继电器控制传感器的开关,从而实现对彩灯广告屏的控制。 具体实现方法如下:

首先,我们需要定义一个或多个输入变量,用于输入PLC 接口的信号。 然后,在程序中实现控制继电器的代码,当该代码触发时,继电器便会自动启动或关闭。 最后,我们需要将彩灯广告屏和PLC的通讯线路连接起来,再通过彩灯广告屏的主控制板对其进行控制即可。 2.彩灯广告屏的颜色切换控制 彩灯广告屏的颜色切换功能是其最常用的功能之一,而实现彩灯广告屏的颜色切换需要调整其电流控制。 具体实现方法如下: 我们需要先定义一个输出变量,用于控制PLC输出信号的电流大小; 然后,通过调整输出变量的电流大小,我们可以控制彩灯广告屏的颜色,从而实现自由调节和切换彩灯广告屏颜色的功能。 综上所述,通过PLC控制彩灯广告屏的颜色和开关控制,我们可以轻松地实现将彩灯广告屏用于商业广告、宣传和展示等方面的目的,带来更多的经济和社会效益。

可编程彩灯控制器课程设计

可编程彩灯控制器课程设计 1. 项目背景 随着科技的不断发展,人们对于生活质量和娱乐体验的要求也越来越高。彩灯作为一种新型的照明设备,可以通过调节颜色和亮度来创造出各种不同的氛围和效果,广泛应用于家庭、商业和娱乐场所等领域。为了满足用户对于彩灯控制的个性化需求,可编程彩灯控制器应运而生。 2. 设计目标 本课程设计旨在培养学生对于可编程彩灯控制器的设计和开发能力,具体目标如下:- 理解彩灯控制器的工作原理和基本组成 - 掌握可编程彩灯控制器的硬件设计和 软件开发技术 - 能够根据用户需求设计并实现多种不同效果的彩灯控制程序 - 能够与其他设备进行通信,实现智能化控制功能 3. 课程大纲 3.1 彩灯控制器基础知识 •彩灯控制器的分类和应用领域 •彩灯控制器的工作原理和基本组成 3.2 可编程彩灯控制器硬件设计 •彩灯控制器的硬件选型和参数设计 •电路原理图绘制和PCB布局设计 •硬件调试和性能测试 3.3 可编程彩灯控制器软件开发 •嵌入式系统概述和开发环境配置 •嵌入式软件开发基础(C语言编程、数据结构与算法) •彩灯控制程序的设计和开发 3.4 彩灯控制器通信技术 •串口通信协议与实现 •无线通信技术(蓝牙、Wi-Fi)与实现 3.5 可编程彩灯控制器应用案例分析与实践 •使用可编程彩灯控制器实现不同场景下的照明效果 •结合其他设备(如音乐播放器、传感器等)实现智能化控制功能

4. 教学方法与评价方式 4.1 教学方法 本课程采用理论教学与实践相结合的教学方法,包括课堂讲解、案例分析、实验操作等形式。通过理论与实践相结合的方式,提高学生对于可编程彩灯控制器的理解和应用能力。 4.2 评价方式 学生的评价将综合考虑课堂表现、实验报告、课程设计作品等因素。评价方式主要包括平时成绩、实验成绩和课程设计成绩。 5. 可能遇到的问题与解决方案 5.1 硬件设计问题 •问题:硬件选型不合适,导致功能无法实现或性能不达标。 •解决方案:在选型前充分调研,选择合适的硬件组件,并进行充分测试和验证。 5.2 软件开发问题 •问题:软件开发过程中遇到困难,无法完成预期功能。 •解决方案:及时向老师和同学请教,参考相关文档和资料,进行适当调整和优化。 5.3 实践操作问题 •问题:实验操作中出现故障或错误。 •解决方案:仔细阅读实验指导书,按照步骤进行操作,并注意安全事项。如遇故障或错误,及时请教老师或助教。 6. 总结 本课程设计旨在培养学生对于可编程彩灯控制器的设计和开发能力,通过理论教学和实践操作相结合的方式,使学生能够掌握彩灯控制器的基本原理、硬件设计和软件开发技术,并能够根据用户需求设计多种不同效果的彩灯控制程序。通过与其他设备的通信,实现智能化控制功能。通过本课程的学习,学生将不仅提高了自己的专业知识水平,还培养了解决问题和团队合作的能力。

数电课程设计--彩灯控制器的设计

数电课程设计--彩灯控制器的设计 一、实验目的 1.学习掌握数字电路的设计方法和基本原理; 2.掌握VHDL语言的基本语法和设计规范; 3.了解数码管的工作原理及应用; 4.设计并实现一个可以控制彩灯显示的数字电路。 二、实验原理 彩灯控制器的设计是一个基于FPGA的数字电路实验,其主要原理涉及VHDL语言的编写、逻辑运算、时序控制、数码管驱动等知识点。 该实验主要由以下两个部分组成: 1.数字电路控制器设计 数字电路控制器是本设计的核心部件,其主要功能是对彩灯的控制电路进行逻辑控制,在不同的时序下控制不同的彩灯亮灭状态,从而实现对彩灯显示的控制。 2.彩灯控制电路设计 彩灯控制电路是数字电路控制器的外围电路,其主要作用是对

控制信号进行缓冲、放大和保护,同时将控制信号转化为对彩灯的亮灭控制。 三、实验器材和软件 1. FPGA板 2. 数字多用途万能板 3. VHDL设计工具 4. 数码管 5. LED彩灯 6. 电阻、电容、三极管等元器件 四、实验步骤 1.设计数字电路控制器 使用VHDL语言编写数字电路控制器,根据不同的控制信号 进行逻辑运算和时序控制,从而实现对LED彩灯显示的控制。 2.设计彩灯控制电路 设计彩灯控制电路,对数字电路控制器输出的控制信号进行放大、缓冲和保护处理,同时将控制信号转化为对彩灯的亮灭控

制。 3.测试与调试 将数字电路控制器和彩灯控制电路进行连接,进行测试和调试,确保控制信号正确地传输到LED彩灯上,并实现相应的亮灭 显示效果。 五、实验结论 本设计实现了基于FPGA的彩灯控制器的设计,通过VHDL 语言实现对数字电路控制器的编写,掌握了数字电路的设计方法和VHDL语言的基本规范;同时实现了彩灯控制电路的设 计和调试,掌握了数码管的工作原理及应用。实验结果表明,该设计实现了对LED彩灯的控制,并可以实现不同的显示效果,具有一定的实用性和较高的教育意义。

循环彩灯控制器课程设计报告

循环彩灯I 控制器 摘要 这次课程设计通过对彩灯的设计,训练对数字电子技术内容的应用能力,掌握对电子产品设计的流程以及各种要求。彩灯技术已广泛得在霓虹灯、广告彩灯、汽车车灯等领域中应用。 动态霓虹灯应该聚而不散、不能为了追求“跳跃”而给人凌乱的感觉,不管采用何种色彩,何种图案,都应该有顺序地渐变和跳跃,给受众一种秩序感,主次感、并便于受众顺着有规律的节奏接着看第二次、第三次。在设计制作时,既要给人以变幻的吸引力,又要主次分明,没有色彩和图案紊乱的感觉。变换、闪烁、跳跃式的霓虹灯为营造欢乐、多姿多彩的生活正越来越受到人们的重视。 近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。再设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目,使电路简洁,而且能提高电路的稳定性,降低成本。因此用集成电路来实现个更多更复杂的器件功能则成为必然。 这次的课程设计用NE555,74LS194 来实现彩灯循环的控制。 关键词NE555 74LS194

目录 摘要 .................................................................. I 1绪论 1.. .. 1.1项目研究的背景与意义............................................ 1.. 1.2彩灯控制系统研究的意义.......................................... 1.. 1.3国内外研究发现展 2.. . 2设计题目:简易彩灯循环控制器 ......................................... 3.. 2.1设计主要内容及要求 3.. . 3系统设计 4.. .. 3.1概述 4.. .. 3.1.1....................................................................................................... 彩 灯信号输出部分.................................................. 4.. 3.1.2....................................................................................................... 双 向移位寄存器74LS194 的原理详解 ................................ 4.. 4硬件调试 7.. .. 4.1芯片引脚及功能 7.. . 4.1.1.......................................................................................................... 芯 片NE555 .................................................... 7.. . 4.1.2....................................................................................................... 芯 片74LS194 8.. . 4.2发光二极管 9.. . 5单元电路设计 9.. .. 5.1555定时电路组成的多谐振荡电路后的示意图 ........................ 1. 0 5.2由74LS194组成的单组控制电路 (11)

数电课程设计彩灯循环控制器(花型B)讲解

课程设计 课程名称数字电子技术课程设计题目名称彩灯循环控制器(花型B) 学生学院材料与能源学院 专业班级电子科学与技术2班 学号 3112007277 学生姓名叶碧华 指导教师潘运红 2014年6 月26 日

广东工业大学课程设计任务书 题目名称彩灯循环控制器(花型B) 学生学院材料与能源学院 专业班级电子科学与技术2班 姓名叶碧华 学号3112007277 一、课程设计的内容 完成彩灯循环控制器的设计任务 二、课程设计的要求与数据 设计要求包括: 1. 10路彩灯分别用10个发光二极管L0、L1…..L9模拟。 2. 要求显示2种不同的花型: 1)10路彩灯按照先奇数次灯、后偶数次灯的顺序轮流点亮。 2)10路彩灯按照L0L1亮、L1 L2亮、L2L3亮、…L8L9的顺序轮流点亮。 以上2种花型一直反复循环显示。 3. 该控制电路设有启动和复位按钮。按下复位按钮,全部灯灭。按下启动按钮,彩灯开始按上述规律变化。 三、课程设计应完成的工作 1. 利用各种电子器件设计篮球比赛计分器; 2. 利用DE2板对所设计的电路进行验证; 3. 总结电路设计结果,撰写课程设计报告。

四、课程设计进程安排 五、应收集的资料及主要参考文献 发出任务书日期:2012 年11月12 日指导教师签名: 计划完成日期: 2012 年 11 月 12 日基层教学单位责任人签章:主管院长签章:

摘要 此处填写本设计说明书的摘要,摘要是说明书内容的简短陈述,一般不超过400字。 本次课程设计要求彩灯先奇数次后偶数次轮流点亮,最后按照L0L1,L1L2,L2L3…L8L9的顺序点亮,一个周期存在19种状态。而本次设计的思路分为四个部分,第一部分为调整时钟脉冲信号,通过74292分频器将DE2开发板上频率为50MHZ的时钟脉冲信号降低为6HZ左右。第二部分为计数电路,将2个74160计数器接成20进制计数器,使其在一个周期里输出20个信号,对应彩灯变化的一个周期。第三部分为编码单元电路,利用2个74154译码器和与非门电路使其输出规律和彩灯变化规律相同。第四部分为指示灯电路,在与非门之后与10输出相连接,作为指示灯电路,对应DE2板上的十盏灯。 关键词:分频器,计数器,译码器,门电路。

数电课程设计循环彩灯

目录 一、设计电路的总体思路 (2) 二、总原理图及其工作过程 (3) 1、总原理图 (3) 2、电路完整的工作过程 (3) 三、单元电路设计 (4) 四、安装与调试 (11) 五、总结与体会 (12) 六、元器件的清单 (14) 七、参考文献 (14) 八、课程设计成绩评分表 (15)

一、设计电路总体的思路 根据设计要求,可画出此电路的框架图 根据该任务要求,我们设计的基本原理是:先红灯,后绿灯,再黄灯,分别按0.5S的速度跑动一次,然后,全部红灯亮5S,再黄灯,后绿灯,各一次。以此循环。根据此要求电路总体上可以分为三部分:一部分电路为控制0.5s的跑动。一部分电路为控制5s的跑动。一部分电路为实现这两种跑动的循环。因此可以选用两个555多谐振荡器(一个周期为0.5秒,一个为5秒)用来控制跑动的速度,再选两个4017芯片,因为4017芯片在正常工作下,连续送入时钟脉冲时,其十个输出端会依次输出高电平。这样可以用一个4017芯片点亮0.5s 的跑动,用一个4017芯片来点亮5s的跑动。选用一个JK触发器和模拟开关4066芯片来实现循环功能,即用JK触发器来控制4066芯

片的开通和关闭。 二、总原理图及工作过程 1.总原理图 2电路完整工作过程 电路运行时第一部分的555多谐振荡器首先工作不断的给控制着3组灯(3个一组)的4017芯片送去脉冲,从而使4017的十个输出端依次为输出高电平。接到高电平的组灯就会发光。这样就实现先红灯,后黄灯,再绿灯,分别依次0.5s跑动。当最后的绿灯亮完后,即第一部分的单元电路中的4017的第十个输出端(09)输出高电平时,这就给74LS76触发器送去一个脉冲,于是触发器的Q

数字电路课设彩灯循环控制电路设计

《数字电子技术课程设计》报告——彩灯循环控制电路设计 摘要

本次电路设计利用555定时器、计数器等设计LED彩灯控制电路。通过按键实现如下循环特性:当按键没有按下时8个彩灯交叉循环点亮:即在前四秒内第1、3、5、7盏灯依次点亮、后四秒内8、6、4、2盏灯依次点亮,而当按键按下一次后(按下两次等效于没有按下),实现8盏灯依次循环点亮(产生灯光追逐音乐、活跃气氛的效果),并设计成同步电路模式。 用555定时器设计的多谐振荡器来提供时序脉冲,其优点是在接通电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号。由于555定时器内部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。之后脉冲信号输入到计数器,同时将计数器输出端QC、QB、QA接到译码器的输入端,当译码器输出电平为低电平时,及其相连接的LED 会变亮。LED采用共阳极连接,并串上500Ω的电阻。电路由按键SPST_NC_SB控制,使彩灯进入到不同的循环模式。 电路图连接好后,经Multisim软件调试测试,电路可以实现设计要求,即实现从题中要求的交叉循环显示和音乐序列的循环显示。整体电路采用同步电路模式,采用TTL集成电路,电压V cc均为5V。运用了所学的555定时器、译码器、计数器及逻辑门电路等相应的电路器件,提高了对于数字电子技术这门专业基础课的认识及理解,在实践中发现不足,努力改正,提高了我自学、创新等能力,同时我们也掌握了相应设计电子电路的能力,

有利于今后对于专业课程的学习。 关键词:555定时器计数器译码器彩灯循环控制

相关主题
文本预览
相关文档 最新文档