16乘16点阵字符发生器
- 格式:doc
- 大小:115.00 KB
- 文档页数:13
单片机16×16点阵显示实验总结400字单片机16×16点阵显示实验总结这次实验我们使用单片机设计了16×16点阵显示器的驱动电路,并成功实现了在点阵上显示字符、数字和图案的功能。
下面是对本次实验的总结。
首先,我们按照实验手册的指示,采用逐行扫描的方式驱动16×16点阵显示器。
通过设置接口电路和引脚连接,将单片机与点阵电路相连,实现数据和控制信号的传输。
接着,我们编写了相应的程序代码,在单片机上进行编译和烧录,并使用示波器进行调试。
在调试过程中,我们发现了一些常见的问题,比如接口线连接错误、引脚配置错误等,及时解决这些问题,确保了实验的顺利进行。
接下来,我们开始编写点阵显示的控制程序。
通过对点阵每一个LED灯珠的亮灭状态进行控制,我们可以实现在点阵上显示不同的字符、数字和图案。
我们编写了一个字符库,其中包含了常用字符和数字的点阵码。
通过查表的方式,我们可以根据需要在点阵上显示相应的内容。
在编写程序的过程中,我们充分利用了单片机的IO口和定时器的功能,并采用了合理的算法,提高了程序的执行效率。
在实验过程中,我们遇到了一些困难和挑战。
首先,点阵显示器的像素较多,对于单片机的计算能力和IO口的数量有一定要求。
因此,在编写程序的过程中,我们需要注意内存和资源的使用,避免发生卡顿或者无法正常显示的情况。
其次,点阵显示器的扫描速度要求较高,需要通过设置定时器的中断来实现,以确保显示的稳定性和清晰度。
通过本次实验,我们不仅掌握了单片机的基本原理和编程技巧,还深入了解了点阵显示器的工作原理和驱动方式。
通过自主设计和编写代码,我们成功实现了在16×16点阵上显示字符、数字和图案的功能。
这不仅加深了我们对嵌入式系统的理解,还提高了我们的动手实践能力和问题解决能力。
总之,通过这次实验,我们不仅学到了很多知识,还锻炼了自己的动手能力和团队合作能力。
虽然在实验过程中遇到了一些困难,但通过不懈努力和团队合作,我们最终取得了成功。
一﹑系统设计总述(本次为课设,不是实验报告,请按模板修改)1.实验目的(1)了解点阵字符的产生和显示原理。
(2)了解FPGA芯片和16×16点阵管的工作原理。
(3)熟练掌握连线与对硬件的使用。
2.实验原理16×16LED点阵管的点亮是由其列选信号和其行选信号所共同控制的。
其行选号共有15个为L0—L15,列选信号有四个为SEL3—SEL0。
当其列选信号选中某一列时,在给所需要的行一个高电平,就能控制其点亮。
例如当SEL0—SEL3为0000时,给L0一个高电平,就能使第一行第一列对应的点亮。
3. 实验内容(1)上机调试所编写好的程序。
(2)将程序下载到芯片上。
(3)实验连线及功能测试。
二﹑系统总实验原理图八进制计数器是用于切换显示花样,CLK1是用于扫描列的信号,其频率应大于人眼的视觉效果。
DOTOUT和SELOUT则是用于控制16×16点阵管的点亮。
三﹑系统子程序设计1.八进制计数器设计JY是八进制计数器,当每一个时钟上升沿到来时,计数器就记一次数,16×16点阵管就显示一个字。
例如当其为0000时,就显示“进”字。
其程序如下library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jy isport(clk,rst:in std_logic;jout:buffer std_logic_vector(3 downto 0));end jy;architecture b of jy isbeginprocess(clk,rst)beginif rst='1' then jout<="0000";elsif clk'event and clk='1' thenif jout=7 then jout<="0000" ;else jout<=jout+1;end if;end if;end process;end b;其仿真波形2.行列驱动设计此程序是文字显示,即“进德修业,精益求精”通过计数器的计数而循环显示。
毕业设计(论文)开题报告设计(论文)题基于FPGA的LED 16×16点阵汉字显示设计目一、选题的背景和意义:LED点阵显示屏是集微电子技术、计算机技术、信息处理技术于一体的大型显示屏系统。
它以其色彩鲜艳,动态范围广,亮度高,寿命长,工作稳定可靠等优点而成为众多显示媒体以及户外作业显示的理想选择。
受到体育场馆用LED显示屏需求快速增长的带动,近年来,中国 LED显示屏应用逐步增多。
目前,LED已经广泛应用在银行、火车站、广告、体育场馆之中。
而随着奥运会、世博会的临近,LED显示屏将广泛的应用在体育场馆以及道路交通指示中,LED显示屏在体育广场中的应用将出现快速增长。
因此,本设计是很有必要的,之所以基于FPGA设计是因为现场可编程门阵列(FPGA)设计周期小,灵活度高,适合用于小批量系统,提高系统的可靠性和集成度。
并且采用编写灵活的VHDL语言编写主程序。
本设计可以方便的应用到各类广告宣传中。
二、课题研究的主要内容:1. 实现16×16点阵的汉字显示;2. 实现有限汉字显示;4. 实现汉字的滚动显示;5. 完成方案论证。
三、主要研究(设计)方法论述:通过去图书馆查阅书籍收集资料,同时在搜索引擎上检索资料,分析借鉴已有类似产品、设计方案与成功经验,选择几种可行方案比对,最后确定最切实可行的方案展开设计。
通过Multisim或Quartus软件对系统进行模拟仿真,对电路功能进行改进与完善。
在EDA试验箱上进行调试。
四、设计(论文)进度安排:时间(迄止日期)工作内容2010.5.17-5.23理解并确认毕业设计任务书,撰写完成毕业设计开题报告(第1周)2010.5.24-5.30完成调研与资料收集、整理(第2周)2010.5.31-6.6设计方案及原理框图确定(第3周)2010.6.7-7.4电路资料收集,单元电路设计(第4、5、6、7周)2010.7.5-7.18电路仿真与改进、完善(第8、9周)2010.19-8.1资料整理(第10、11周)2010..8.2-8.8书写毕业设计报告(第12周)2010.8.9-8.16(第13周)修改毕业设计报告并整理装订五、指导教师意见:指导教师签名:年月日六、系部意见:系主任签名:年月日目录摘要ABSTRACT第一章前言 (1)1.1本设计的研究背景和研究目的 (1)1.2LED点阵显示特点 (2)1.3FPGA设计的特点 (2)第二章系统设计 (4)2.1设计任务与要求 (4)2.1.1设计任务 (4)2.1.2设计要求 (4)2.2设计原理 (4)2.2.1总体设计方案 (4)2.2.2方案的比较 (5)2.3扫描控制模块 (6)2.3.1 LED的显示原理 (6)2.3.2汉字的存储 (7)2.4汉字显示 (7)2.4.1列循环扫描 (8)2.4.2字符样式设计 (10)2.4.3字母循环扫描及期间的延时环节 (14)2.5整个完整的程序 (15)第三章系统调试与仿真 (22)3.1开发环境介绍 (22)3.2调试与仿真 (22)3.2.1 创建工程 (22)3.2.2 编译前设置 (23)3.2.3 全程编译 (25)3.2.4时序仿真 (26)第四章结束语 (29)答谢辞 (29)参考文献 (29)摘要主要研究基于VHDL的 Led点阵汉字滚动显示。
单片机的16X16LED点阵式汉字电子显示屏的武汉理工大学《单片机课程设计》实验报告学号:0121018700318课程设计题目4个8x8点阵LED电子显示屏的设计学院物流学院专业物流工程班级物流ZY1001姓名宋金龙指导教师朱宏辉2013 年 6 月25 日武汉理工大学《单片机课程设计》报告武汉理工大学《单片机课程设计》实验报告摘要本设计是基于MCS-51的16x16点阵LED电子显示屏的设计,16x16的点阵共有256个发光二极管,我们采用动态扫描的显示方法,更节省锁存器也就节省了成本。
扫描驱动电路就可以实现多行(比如16行)的同名列共用一套驱动器。
具体就16x16的点阵来说,把所有同1行的发光管的阳极连在一起,把所有同1列的发光管的阴极连在一起(即我们采用共阳极的接法)。
采用扫描方式进行显示时,每一行和每一列都有一个行驱动器和列驱动器,各行的同名列和各列的同名行共用一个驱动器。
我们采用四个74LS273锁存器。
显示数据通常存储在单片机的存储器中,按8位一个字节的形式顺序排放。
编写点阵显示程序,利用proteus画出电路原理图并装入程序仿真调试,仿真成功后完成电路焊接并装入程序完成实物设计。
整个设计过程让我们学到许多实践知识!LED显示屏作为一种新型的显示器件,是由多个发光二极管按矩阵形式排列封装而成,通常用来显示时间、图文等信息,由点阵LED组成的汉字显示屏在工工程所应用非常广泛。
LED显示屏显示画面色彩鲜艳,立体感强,静如油画,动如电影,广泛应用于车站、码头、机场、商场、医院、宾馆、银行、证券市场、建筑市场、拍卖行、工业企业管理和其它公共场所。
在实际应用中的显示屏由于成本和可靠性的因素常采用一种称为动态扫描的显示方法。
本文设计的是一个室内用16x16的点阵LED图文显示屏,图形或文字显示有静止、移入移出等显示方式。
本设计是基于MCS-51单片机的16x16显示屏,其中包含了硬件、软件、调试等方案的设计。
O引言Proteus是目前最先进、最完整的多种型号微处理器系统的仿真设计平台,由ISIS和ARES两个构成,其中ISIS是一款智能电路原理图输入系统软件,可作为电子系统仿真平台,ARES是一款高级布线编辑软件,用于制作PCB印制电路板。
开发者可以在无硬件条件下直接使用Pro-teus进行电路设计和仿真调试,真正实现了在计算机中完成电路原理图设计、电路分析与仿真、系统测试到形成印制电路板的完整电子设计、研发过程。
因此,本课题利用AT89C5l单片机作为主控制器,采用Proteus软件实现对16×16LED点阵汉字的分批显示.仿真运行通过后再进行点阵显示电路制作,大大缩减实际开发周期,节约了开发成本。
1硬件电路设计在很多LED显示的场合,需要实现一系列LED点阵汉字的分批显示,为简化设计,每批只显示2个汉字,分若干次完成全部显示。
利用ProteusISIS平台画出的硬件电路如图l所示。
该硬件电路的核心是利用单片机读取显示字型码,通过驱动电路对16×16共阴极LED点阵进行动态列扫描,以实现点阵汉字的分批显示。
设计选用的单片机为Atrnel公司的AT89C51,它是一种低功耗,高性能CMOS8位单片机,片内含4KB可反复擦写的FLASHROM,采用Atmel公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及8051引脚结构,内置看门狗电路。
功能强大的AT89C5l可为许多嵌入式控制应用系统提供高性价比的解决方案。
时钟电路用于产生单片机工作时所必需的时钟信号,其中晶振频率为12MHz。
上电复位电路可保证单片机的在程序运行出错或操作错误使系统处于死锁状态时,重启运行。
显示单元是LED汉字显示屏,由2片16×16LED点阵模块组成。
但由于Proteus软件目前版本中还没有16×16点阵模块,设计中采用Pro-teus软件中的4个8×8点阵模块组合成1个16×16点阵模块。
北华航天工业学院《EDA技术综合设计》课程设计报告报告题目:16×16点阵字符发生器作者所在系部:电子工程系作者所在专业:自动化作者所在班级:B08221作者姓名:吴海猛指导教师姓名:崔瑞雪完成时间:2010-11-30内容摘要(格式、字体)EDA技术是现代电子信息工程领域的一门新兴技术,它是在现代先进的计算机工作平台上开发出来的一整套电子系统设计的软硬件工具,并提供了先进的电子系统设计方法。
随着EDA技术的不断发展,开发人员完全可以通过自己的电子系统设计来定制其芯片内部的的电路功能,使之成为设计者自己的专门集成电路芯片。
在本次课设中,设计一个共阴16X16点阵控制接口,要求:在时钟信号的控制下,使点阵动态点亮,点亮方式为使点阵显示器显示“进德修业精益求精”八个字,其中列选信号为16-4编码器编码输出。
列选信号采用与7段数码管的位选信号一样的处理方法,即列扫描信号频率大于24HZ。
通过对系统进行结构分析,采用了层次化的设计方法,给出了各个模块的VHDL程序,并且利用Max PlusⅡ对应用程序了仿真,并给出了相应的仿真结果。
关键词EDA、可编程逻辑器件、时钟源、16*16点阵字符发生器目录一、设计要求 (1)二、实验目的 (1)三、硬件要求 (1)四、实验原理 (1)五、硬件电路设计 (2)8进制异步清零计数器 (2)点阵设计模块及设计程序 (3)六、仿真结果及管脚设置 (9)七、实验心得体会 (10)八、参考文献 (10)课程设计任务书一、实验要求设计一个共阴16X16点阵控制接口,要求:在时钟信号的控制下,使点阵动态点亮,点亮方式为使点阵显示器显示“进德修业精益求精”八个字,其中列选信号为16-4编码器编码输出。
二、实验目的1、了解点阵字符的产生和显示原理。
2、了解E2PROM和16×16点阵LED的工作机理。
3、加强对于总线产生,地址定位的CPLD实现的理解。
三、硬件要求1.主芯片EPF10K10LC84-3。
电子综合设计方案题目:16*16点阵汉字显示屏显示设计年级专业:电气学生姓名:2011年12 月10 日第一章课题简介1.1 LED显示简介LED显示屏:它是一种通过控制半导体发光二极管的显示方式,其大概的样子就是由很多个通常是红色的发光二极管组成,靠灯的亮灭来显示字符。
用来显示文字、图形等各种信息的显示屏幕。
LED显示以其组构方式灵活、显示稳定、功耗低、寿命长、技术成熟、成本低廉等特点在车站、证券所、运动场馆、交通干道及各种室内/外显示场合的信息发布,公益宣传,环境参数实时,重大活动倒计时等等得到广泛的应用。
单片机控制系统程序采用单片机汇编语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。
所显示字符的点阵数据可以自行编写,也可从标准字库中提取。
第二章课题系统整体方案2.1 需要实现的功能本设计是一16×16点阵LED电子显示屏的设计。
整机以40脚单片机AT89C51为核心,通过该芯片控制列驱动器74HC595来驱动显示屏显示。
该电子显示屏可以显示各种文字或单色图像,全屏能显示1个汉字。
显示可以采用动态显示,使得图形或文字能够实现静止、移入移出等多种显示方式。
开关控制显示“矿大电气五班”、“电子综合设计”的字幕。
同时还要实现的功能:5V的电压输入,时钟电路的设置,复位电路的设置,单片机给74HC154芯片同时给E1和E2低电平,74LS154才能正常的工作。
例如如果想使左上角LED点亮,则Y0=1,X0=0即可。
应用时限流电阻可以放在X轴或Y,16*16LED 点阵如图2.1.1所示。
图 2.1.116X16点阵LED 工作原理说明 : 16X16点阵共需要256个发光二极管组成,且每个发光二极管是放置在行线和列线的交叉点上,当对应的某一列置1电平,某一行置0电平,则相应的二极管就亮;因此要实现一根柱形的亮法,对应的一列为一根竖柱,或者对应的一行为一根横柱,因此实现柱的亮的方法如下所述:一根竖柱:对应的列置1,而行则采用扫描的方法来实现。
LED16×16点阵汉字显示屏LED 16 x 16 bitmap characters displayscreen目录第一章绪论 (1)第二章任务设计………………………………………………………2.1 设计依据 (40)2.2 要求及主要内容………………………………………………………2.3 途径和方法……………………………………………………………第三章AT89C51单片机概述 (52)3.1 AT89C51单片机的结构……………………………………………3.2 管脚说明 (60)3.3 振荡器特性……………………………………………………………第四章方案设计 (66)4.1 总体设计………………………………………………………………4.2 系统硬件选择…………………………………………………………4.3 硬件电路实现…………………………………………………………4.4 软件的程序实现………………………………………………………结论 (71)致谢 (74)参考文献 (75)LED16×16点阵汉字显示屏摘要 :LED点阵显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏幕。
它具有发光效率高、使用寿命长、组态灵活、色彩丰富以及对室内外环境适应能力强等优点。
并广泛的应用于公交汽车,码头,商店,学校和银行等公共场合的信息发布和广告宣传。
LED显示屏经历了从单色,双色图文显示屏到现在的全彩色视频显示屏的发展过程,自20世纪八十年代开始,LED显示屏的应用领域已经遍布交通、电信、教育、证券、广告宣传等各方面。
LED点阵显示屏可以显示数字或符号,通常用来显示时间、速度、系统状态等。
文章给出了一种基于MCS-51单片机的16×16 点阵LED显示屏的设计方案。
包括系统具体的硬件设计方案,软件流程图和部分C语言程序等方面。
在负载范围内, 只需通过简单的级联就可以对显示屏进行扩展,是一种成本低廉的图文显示方案。
51单片机驱动16×16列点阵显示动画汉字汇编器。
51单片机驱动16×16点阵显示动画汉字汇编器这是一个完整的汇编器列AT89S51单片机驱动led点阵显示动画汉字。
组织机构0000HST: MOV A,# 0FFH初始化movp1、amovp2、amovp3、amovp0、aclramovr0、# 50h。
显示缓存已清除0MOV R1,# 20H控制清零次数0 st0:mov @ r0,aincr0 djnzr1,st0。
文字显示中文: MOV DPTR,# TAB1查找表指针指向TAB1LCALL HZ。
结束动画屏幕: movdptr,# tab2查找表指针指向TAB 2呼叫DDMOV DPTR,# TAB3查找表指针指向TAB4呼叫DDMOV DPTR,# TAB4查找表指针指向TAB4ACALL DDLJMP中文;显示8个图片子程序,CREE专用,文字用字体软件反转(表格必须为256字节深)DD: MOV B,#00HMOV R0,# 08H显示8张BADD MOV A、20H指向下一张图片MOV乙,MOV R7,08H 屏幕停留时间movr3、bmov37h、r0lcallenter dis 003360lcall调用显示djnzr7、dis00movr0、37h djnzr0、cc0ret。
汉字向上子程序可以显示8个汉字(表必须是256字节深)HZ: MOV R3,# 00H查找表偏移MOV R5,# 81H查找表128次,MOV R4,邮编:# 10H在显示了汉字“MOVBACK0:”后,# 02H一帧显示时间MOV R0,# 6DH较低的8位R0指向显示缓冲器底部的第三个字节,用于用最后一个字节交换MOV R1,# 6CH高8位R1指向显示缓冲器底部的第4个字节,用于交换MOV·R2,#10H和底部的第2个字节;DJNZ R5、MOVBACK1RET的内存回移次数;显示表格后,返回movback1:mova。
北华航天工业学院《EDA技术综合设计》课程设计报告报告题目:16*16点阵字符发生器作者所在系部:电子工程系作者所在专业:通信工程作者所在班级:作者姓名:学号:指导教师姓名:陈刚完成时间:2011年12月22日内容摘要随着微电子技术和计算机技术的发展,电子信息产品更新换代的速度日新月异。
实现这种进步的主要原因就是电子设计与制作技术的发展,其核心就是电子设计自动化——EDA。
16点阵显示器是一个发光二极管阵列,我们所用的是16个共阴极二级管组成一列,共16列的显示器。
只要让二极管阴极接低电平,阳极接高电平,便可使这个二极管亮,同构不同二极管的组合,便可显示要现实的内容。
16点阵显示器在日常生活中随处可见,掌握对16点阵显示器控制显示的方法,是很重要的。
实验是使用FPGA设计一个16×16的点阵显示的控制器,使点阵显示器显示汉字及花样。
我们所做的花样一个是字的显示,显示:北华工业生日快乐列选信号采用与7段数码管的位选信号一样的处理方法,即列扫描信号频率大于24HZ。
通过对系统进行结构分析,采用了层次化的设计方法,给出了各个模块的VHDL程序,并且利用QuartusⅡ对应用程序了仿真,并给出了相应的仿真结果。
关键词EDA、可编程逻辑器件、时钟源、16*16点阵字符发生器目录一、设计要求 (5)二、实验目的 (5)三、硬件要求 (5)四、实验原理 (5)五、硬件电路设计 (6)六、实验步骤 (10)七、实验结果 (11)八、总结 (12)九、参考文献 (13)课程设计任务书课题名称16*16点阵字符发生器完成时间12-22 指导教师陈刚职称教授学生姓名班级总体设计要求和技术要点使用FPGA设计一个16×16的点阵显示的控制器,使点阵显示器显示“北华工业生日快乐”八个字。
设计一个16进制计数器对花样进行选择,设计一个行列驱动控制器,对行列进行驱动,将两部分结合在一起,下载到FPGA芯片中即可完成。
为了显示整个汉字,首先分布好汉字的排列,以行给汉字信息;然后以大于24HZ的频率扫描列,即每行逐一加高电平,根据人眼的视觉残留特性,使之形成整个汉字的显示。
工作内容及时间进度安排12-18:上午熟悉EDA V型试验系统,完成芯片下载,试验箱连线;下午调试程序,对错误进行修改,实现目标花样;12-20:上午验收、答辩;课程设计成果1.与设计内容对应的软件程序2.课程设计报告书3.成果使用说明书4.设计工作量要求一、实验要求设计一个共阴16X16点阵控制接口,要求:在时钟信号的控制下,使点阵动态点亮,点亮方式为使点阵显示器显示“北华工业生日快乐”八个字,其中列选信号为16-4编码器编码输出。
二、实验目的1.掌握16点阵显示器显示的原理,从而了解对16点阵控制的方式。
2.学习如何用VHDL语言仿真编译及设计芯片,掌握VHDL语言特点及语法,掌握各种VHDL语句。
3.了解EAD V—型试验系统的基本布局,掌握使用时钟源得到不同频率信号的方法,学习在试验箱上得到高低电平的方法。
4.掌握如何将程序下载到FPGA的方法。
5.学会在试验箱上对各器件进行连线。
三、硬件要求1.主芯片EPF10K10LC84-3。
2.可变时钟源。
3.带有事先编程好字库/字符的E2PROM 2864。
4.16×16扫描LED点阵。
四、实验原理16×16扫描LED点阵的工作原理同8位扫描数码管类似。
它有16个共阴极输出端口,每个共阴极对应有16个LED显示灯。
所以其扫描译码地址需4位信号线。
要使16点阵上某个点亮,如第10行第4列的LED点亮,只要让列选信号为“0100”,从而选中第4列,再给第10行一个高电平,即可点亮该LED。
本实验通过FPGA芯片写入字形,产生扫描信号。
为了显示整个汉字,首先分布好汉字的排列,以行给汉字信息;然后以大于24HZ的频率扫描列,即每行逐一加高电平,根据人眼的视觉残留特性,使之形成整个汉字的显示。
由于要显示不同的字,需要给一个信DIN,对不同字不同花样进行选择。
而该信号的产生可以通过一个16进制计数器完成。
本设计由16进制计数器,行驱动和列驱动组成。
输出包括了如下图所示的列选信号SEL0—SEL3。
五、硬件电路设计总电路图DIN[3..0]为花样模式选择,高电平有效;CLK为时钟输入端;DOTOUT[0..15]为行驱动信号输出;SELOUT[3..0]为列选信号输出,去驱动16×16点阵管的列选信号具体设计程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity juzhen isport(clk,clr:in std_logic;din :in std_logic_vector(3 downto 0);dotout:out std_logic_vector(15 downto 0);selout:buffer std_logic_vector(3 downto 0));end juzhen;architecture ar_1 of juzhen isbeginprocess(clk,clr,din)beginif clr='1' then dotout<="0000000000000000";selout<="0000" ;elsif clk'event and clk='1' thenif selout=15 then selout<="0000" ;else selout<=selout+1;end if;case din iswhen "0000"=>case selout iswhen "1111" =>dotout<="0000000000000000";when "1110" =>dotout<="0000000000000000";when "1101" =>dotout<="0001000010000000";when "1100" =>dotout<="0001000010000000";when "1011" =>dotout<="0001000010000000";when "1010" =>dotout<="0111111111111100";when "1001" =>dotout<="0000000000000000";when "1000" =>dotout<="0000000000000000";when "0111" =>dotout<="0111111111111100";when "0110" =>dotout<="0100000010000000";when "0101" =>dotout<="0100000010000000";when "0100" =>dotout<="0100000010000000"; when "0011" =>dotout<="0110000011000000";when "0010" =>dotout<="0011000000000000";when "0001" =>dotout<="0000000000000000";when "0000" =>dotout<="0000000000000000";when others=>dotout<=null;end case;when "0001"=>case selout iswhen "1111" =>dotout<="0000000000000000";when "1110" =>dotout<="0000000000000000";when "1101" =>dotout<="0000000000000000";when "1011" =>dotout<="0000100000001000";when "1010" =>dotout<="0000100111111100";when "1001" =>dotout<="0000100000000001";when "1000" =>dotout<="0000100000000000";when "0111" =>dotout<="0111111000100000";when "0110" =>dotout<="0000100111111110";when "0101" =>dotout<="0000100100010000";when "0100" =>dotout<="0000100100001000"; when "0011" =>dotout<="0000100100000000";when "0010" =>dotout<="0000100111000000";when "0001" =>dotout<="0000000000000000";when "0000" =>dotout<="0000000000000000";when others=>dotout<=null;end case;when "0010"=>case selout iswhen "0000" =>dotout<="0000000000000000";when "0001" =>dotout<="0000000000000000";when "0010" =>dotout<="0011000000011000";when "0011" =>dotout<="0011000000011000";when "0100" =>dotout<="0011000000011000";when "0101" =>dotout<="0011000000011000";when "0110" =>dotout<="0011111111111000";when "0111" =>dotout<="0011111111111000";when "1000" =>dotout<="0011000000011000";when "1001" =>dotout<="0011000000011000";when "1010" =>dotout<="0011000000011000";when "1011" =>dotout<="0011000000011000"; when "1100" =>dotout<="0011000000011000";when "1101" =>dotout<="0011000000011000";when "1110" =>dotout<="0000000000000000";when "1111" =>dotout<="0000000000000000";when others=>dotout<=null;end case;when "0011"=>case selout iswhen "0000" =>dotout<="0100000001100000";when "0001" =>dotout<="0100000110000000";when "0010" =>dotout<="0100011000000000";when "0011" =>dotout<="0101100000000000";when "0100" =>dotout<="0111111111111100";when "0110" =>dotout<="0100000000000000";when "0111" =>dotout<="0100000000000000";when "1000" =>dotout<="0100000000000000";when "1001" =>dotout<="0111111111111100";when "1010" =>dotout<="0101100000000000";when "1011" =>dotout<="0100011000000000"; when "1100" =>dotout<="0100000110000000";when "1101" =>dotout<="0100000011000000";when "1110" =>dotout<="0100000001100000";when "1111" =>dotout<="0100000000000000";when others=>dotout<=null;end case;when "0100"=>case selout iswhen "1111" =>dotout<="0000000000000000";when "1110" =>dotout<="0000000001000000";when "1101" =>dotout<="0010001000100000";when "1100" =>dotout<="0010001000110000";when "1011" =>dotout<="0010001000101000";when "1010" =>dotout<="0010001000100100";when "1001" =>dotout<="0010001000100010";when "1000" =>dotout<="0011111111111100";when "0111" =>dotout<="0010001000100000";when "0110" =>dotout<="0010001000100000";when "0101" =>dotout<="0010001000100000";when "0100" =>dotout<="0010001000100000"; when "0011" =>dotout<="0010001000000000";when "0010" =>dotout<="0010000000000000";when "0001" =>dotout<="0000000000000000";when "0000" =>dotout<="0000000000000000";when others=>dotout<=null;end case;when "0101"=>case selout iswhen "0000" =>dotout<="0000000000000000";when "0001" =>dotout<="0000000000000000";when "0010" =>dotout<="0000000000000000";when "0011" =>dotout<="0011111111111100";when "0100" =>dotout<="0011000100001100";when "0101" =>dotout<="0011000100001100";when "0110" =>dotout<="0011000100001100";when "0111" =>dotout<="0011000100001100";when "1000" =>dotout<="0011000100001100";when "1010" =>dotout<="0011000100001100";when "1011" =>dotout<="0011000100001100"; when "1100" =>dotout<="0011111111111100";when "1101" =>dotout<="0000000000000000";when "1110" =>dotout<="0000000000000000";when "1111" =>dotout<="0000000000000000";when others=>dotout<=null;end case;when "0110"=>case selout iswhen "1111" =>dotout<="0000000000000000";when "1110" =>dotout<="0000011110000000";when "1101" =>dotout<="0000000000000000";when "1100" =>dotout<="0011111111111100";when "1011" =>dotout<="0000000000000000";when "1010" =>dotout<="0000110011110000";when "1001" =>dotout<="0010001100000000";when "1000" =>dotout<="0001100011001000";when "0111" =>dotout<="0000110010101000";when "0110" =>dotout<="0000001110011111";when "0101" =>dotout<="0000110010001000";when "0100" =>dotout<="0001100011111000"; when "0011" =>dotout<="0011000010000000";when "0010" =>dotout<="0010000010000000";when "0001" =>dotout<="0000000000000000";when "0000" =>dotout<="0000000000000000";when others=>dotout<=null;end case;when "0111"=>case selout iswhen "1111" =>dotout<="0000000000000000";when "1110" =>dotout<="0000000000000000";when "1101" =>dotout<="0000000000000000";when "1100" =>dotout<="0000000000000000";when "1011" =>dotout<="0100000000000000";when "1010" =>dotout<="0010001111100000";when "1001" =>dotout<="0001001000010000";when "1000" =>dotout<="0010101000001000";when "0111" =>dotout<="0100001000000110";when "0110" =>dotout<="1111111111110011";when "0101" =>dotout<="0000101000000000";when "0100" =>dotout<="0001001000000000"; when "0011" =>dotout<="0010001000000000";when "0010" =>dotout<="0100000000000000";when "0001" =>dotout<="1000000000000000";when "0000" =>dotout<="0000000000000000";when others=>dotout<=null;end case;when others=>null;end case;end if;end process;end ar_1;六、实验步骤(1)安装QuartusⅡ软件,为本项设计建立文件夹。