DAC0832接口电路及程序设计

  • 格式:doc
  • 大小:314.31 KB
  • 文档页数:18

下载文档原格式

  / 18
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

附件1:

学号:0121109320507

课程设计

DAC0832接口电路

题目

及程序设计

学院信息工程学院

专业通信工程

班级信息sy1101

姓名芦启超

指导教师陈适

2014年 6月18日

课程设计任务书

学生姓名:芦启超专业班级:信息sy1101 指导教师:陈适工作单位:信息工程学院

题目: DAC0832接口电路及程序设计

初始条件:

(1) Quartus II、ISE 等软件;

(2)课程设计辅导书:《Xilinx FPGA 设计与实践教程》

(3)先修课程:数字电子技术、模拟电子技术、通信原理

主要任务:

(1)掌握DAC0832接口电路及程序设计的基本原理;

(2)掌握仿真软件Quartus II的使用方法;

(3)完成对DAC0832接口电路设计,并对仿真结果进行分析。

时间安排:

(1)2014 年6月11日--2014 年6月18日理论设计、仿真设计

地点:鉴主13 楼通信工程综合实验室、鉴主15 楼通信工程实验室。

(2)2014 年6 月18 日进行理论答辩。

指导教师签名:年月日

系主任(或责任教师)签名:年月日

目录

摘要............................................................................................................................................. I Abstract ........................................................................................................................................... II 1 基本原理 (1)

1.1 系统背景 (1)

1.2 FPGA最小系统简介 (1)

1.3 DAC0832简介 (2)

1.4 DAC0832 接口电路设计 (2)

1.5 DAC0832 接口电路程序设计 (3)

1.5.1 DAC0832 时序 (3)

1.5.2 DAC0832接口电路输出控制程序 (4)

2 仿真结果与分析 (7)

2.1 关于Quartus II 软件 (7)

2.1.1 Quartus II 的优点 (7)

2.1.2 Quartus II 对器件的支持 (7)

2.1.3 Quartus II 对第三方EDA 工具的支持 (8)

2.2 输出仿真结果 (8)

3 结论 (10)

总结与体会 (11)

参考文献 (12)

摘要

本次课程设计是使用Quartus ii 进行仿真,基于VHDL硬件描述语言,完成了DAC0832接口电路及程序的设计。DAC0832是采用CMOS/Si-Cr工艺实现的8位D/A转换器。该芯片包含8位输入寄存器、8位DAC寄存器、8位D/A转换器,可以实现D/A转换。

本次课程设计主要研究的是VHDL语言,尝试DAC0832接口电路的设计与仿真,学会Quartus ii 的基本使用,加强对FPGA的理解。

关键词:DAC0832;VHDL;Quartus ii

Abstract

The curriculum design use Quartus ii to simulate, which is based on the VHDL hardware description language and complete the design of DAC0832 interface circuit and program. DAC0832 is a 8 bit D/A converter with CMOS/Si-Cr technology. The chip contains 8 bit input register, a 8 bit DAC register, a 8 bit D/A converter, can realize D/A conversion.

Study design this course is the VHDL language, try to design and Simulation of the DAC0832 interface circuit, learn how to use Quartus ii basicly, strengthen the understanding of FPGA.

Key Words:DAC0832;VHDL;Quartus ii

1 基本原理

1.1 系统背景

现场可编程逻辑门阵列FPGA ,与PAL 、GAL 器件相比,他的优点是可以实时地对外加或内置得RAM 或PROM 编程,实施地改变迄今功能,实现现场可编程(基于EPROM 型)或在线重配置(基于RAM 型)。是科学试验、演技研制、小批量产品生产的最佳选择其间。自上世纪70年代单片机问世以来,它以其体积小、控制功能齐全、价格低廉等特点赢得了广泛的好评与应用。由单片机构成的应用系统有有体积小、功耗低控制功能强的特点,它用利于产品的小型化、多功能化和智能化,还有助与提高仪表的精度和准确度,简化结构、减小体积与重量,便于携带与使用,降低成本,增强抗干扰能力,便于增加显示、报警和诊断功能。因而许多现代仪器仪表都用到了FPGA

DAC0832是8分辨率的D/A 转换集成芯片。与微处理器完全兼容。这个D/A 芯片以其价格低廉、接口简单、转换控制容易等优点,在单片机应用系统中得到广泛的应用。

1.2 FPGA 最小系统简介

图1.1 FPGA 最小系统

计算机接口

JTAG 接口

FPGA / CPLD 芯片

复位电源

输入接口

输出接口

下载电缆

AS 接口