基于EDA技术的三层电梯控制程序设计
- 格式:doc
- 大小:999.50 KB
- 文档页数:47
EDA技术综合课程设计课程:EDA技术综合课程设计题目:电梯控制器所属院系:电气工程学院专业班级:自控1304班姓名:王军学号:1317014092 指导老师:张立众完成地点:陕西理工学院目录1.设计任务 (2)2.可选器材 (2)3.设计框图 (2)4.设计思路 (4)5.引脚分配 (5)6程序清单 (9)7.主控模块仿真 (16)8.问题及改进 (17)9.总结及感受 (18)10.参考文献 (19)一.[设计任务]1、设计一个三层的电梯控制器。
2、用数码管显示电梯所在的楼层号,电梯初始化后状态在第一层楼。
3、每层电梯外都有上下楼请求开关,电梯内部有到各楼层的请求开关及紧急故障开关;用数码管显示上行或下行状态,用发光二极管显示是否有紧急情况。
4、电梯每秒升(降)一层,电梯到达有停站请求的楼层后,经1秒电梯开门,开门指示灯亮,开门4秒后指示灯灭,关门,电梯继续运行。
5、当电梯被锁定或发生紧急情况后,电梯停止运行,直到解除锁定或紧急故障后才可以从停止时的状态继续运行。
6、当电梯处于上升状态时,只响应比电梯所在位置高的上楼请求信号,直到最后一个上楼请求执行完毕,再进入下降模式;同理,电梯处于下降状态时,只响应比电梯所在位置低的下楼请求信号,直到最后一个下楼请求执行完毕,再进入上升模式。
二.[可选器件]1.计算机组成/ISP实验箱一台(含电源)2.电源线一根FPGA/CPLD下载板一块(或多块,可选)(其中下载版选择以下型号Cyclone EP1C6Q240C8)3.并行口下载电缆一根4.电压表棒一付5.实验指导书一份6.配套集成电路芯片若干三.[设计框图]系统主要分为:主控制模块control,包含状态机,控制电梯的运行及状态转换;消抖模块unshake,消除开关电路的抖动现象,确保逻辑的正确性;显示模块display,内含译码功能,配合控制器显示电梯的工作状态。
模块框图见图1,总体框图详见图2。
EDA技术与实践综合实验报告题目名称:电梯控制器的设计作者所在系部:电子工程系作者所在专业:电子工艺与管理作者所在班级:作者姓名:作者学号:22号指导教师姓名:完成时间:2012年6月北华航天工业学院电子工程系制综合实验任务书摘要随着社会的发展。
使用电梯越来越普遍,已从原来只在商业大厦、宾馆过渡到在办公室、居民楼等多种建筑中,并且对电梯功能的要求也不断提高,其相应控制方式也在不断发生变化。
电梯的微机化控制主要有:PLC控制、单板机控制、单片机控制、单微机控制、多微机控制和人工智能控制等。
随着EDA技术的高速发展,电子系统设计技术和工具发生了深刻的变化,大规模可编程逻辑器件FPGA的出现,给设计人员带来了诸多的方便。
VHDL语言是随着可编程逻辑器件( PLD)发展起来的一种硬件描述语言,主要用于描述数字系统的结构、行为、功能和接口,是电子设计自动化(EDA)的关键技术之一。
它采用一种自上而下的设计方法,即从系统总体要求出发进行设计。
本文介绍了以Altera公司可编程逻辑器件为控制核心,采用VHDL语言设计电梯控制器的方法,并进行了QuartusII 软件仿真。
这里使用Altera公司开发的DE2开发板作为目标器件。
采用VHDL语言设计一个四层楼的单个载客箱的电梯控制系统,此控制系统设计有一定的扩展性,而且可以作为更多层电梯控制器实现的基础。
关键词:EDA、VHDL、FPGA、状态机目录一.概述 (1)二.方案设计与论证 (1)1.设计说明 (1)2.设计思想 (1)三.单元模块设计介绍 (2)1.状态机 (2)2.分频器 (3)3.按键扫描模块 (3)4.显示模块 (3)四.程序设计(包括总体原理图或符号、程序、仿真结果和工作原理) (4)1.总体原理 (4)2.程序设计 (4)3.仿真结果 (15)4.工作原理 (17)五.器件编程和硬件调试过程 (17)六.心得体会 (18)参考文献 (18)一.概述本设计是运用状态机方法进行设计的,现的情况的四层楼的电梯。
三层电梯PLC控制程序设计1.课程设计的目的EDA技术及应用是电类专业的主要技术基础课。
通过本课程的学习,能够使学生掌握近代EDA技术及应用的基础知识、程序分析与仿真的基本方法,具备进行试验的初步技能,并为后续课程的学习打下必要的基础。
现代电子设计技术的核心是EDA技术,基于EDA技术开发的实现三层电梯自动控制与目前主流的利用可编程逻辑控制器实现电梯控制紧密相连。
硬件描述语言是EDA 技术的重要组成部分,VHDL是作为电子设计主流硬件的描述语言。
实用VHDL语言进行程序的设计,在MAXPLUSI I软件上对程序进行编译、仿真,在MAXPLUSSII平台上开发具有控制灵活方便、抗干扰能力强、运行稳定、易学易懂的梯形图语言。
2.设计方案论证2.1 设计内容与思路三层电梯广泛应用于大型的货运之中,其使用具有便捷、货运周期短、效率高、成本低等经济价值。
在客运上,上层电梯虽然涉及楼层不高,应用范围不大,但就特殊而言,他可以为那些上下楼层不方便的人群提供方便,照顾了这些特殊群体,所以三层电梯的设计也具有一定的社会价值本控制设计拟实现一下功能:(1)指示电梯运行所在楼层;(2)指示电梯运行方向;(3)关门延时设计;(4)看门狗报警;(5)超载报警;(6)故障报警;2.2 三层电梯控制的源程序如下:library ieee;use ieee.std_logig_1164.all;use ieee.std_logic_unsigned.all;use iee.std_logic_arith.all;entity dianti isport(clk;in std_logic;full,deng,quick,clr;in std_logic;c_u1,c_u2;in std_logic;c_d2,c_d3;in std_logic;d1,d2,d3;in std_logic;g1,g2,g3;in std_logic;door: out std_logic_vector(1 down to 0);led:out std_logic_vector(6 down to 0);led_c_u:out std_logic_vector(2 down to 0);led_c_d:out std_logic_vector(2 down to 0);led_d:out std_logic_vector(2 down to 0);wahaha:out std_logic;ud,alarm:out std_logic;up,down:out std_logic);end dianti;architecture behave of dianti issignal d11,d22,d33:std_logic;signal c_u11,c_u22:std_logic;signal c_d11,c_d22:std_logic;signal q:integer range 0 to 1;signal q1:integer range 0 to 6;signal q2:integer range 0 to 9;signal dd,cc_u,cc_d,dd_cc;std_logic_vector(2 down to 0); signal opendoor:std_logic;signal updown:std_logic;signal en_up,en_dw:std_logic;begincom:process(clk)beginif clk‟event and clk=…1‟thenif clr=…1‟then q1<=0;q2<=0;wahaha<=…0‟;else if full=…1‟then alarm<=…1‟;q1<=0;if q1>=3 then door<=“10”;else door<=“00”end if;else if q=1 then q<=0;alarm<=…0‟;if q2=3 then wahaha<=…1‟;elseif open door=…1‟ then door<=…10‟;q1<=0;up<=…0‟;down<=…0‟;else if en_up=…1‟thenif de ng=1 then door<=“10”;q1<=0;q2<=q2+1;else if quick=…1‟then q1<=3;else if q1=6 then door<=“00”;updown<=…1‟;up<=…1‟;else ifq1>=3 then door<=“01”;q1<=q1+1;else q1<=q1+1;door<=“00”;end if;else if en_dw=…1‟thenif deng=…1‟ then door<=“10”; q1<=0;q2<=q2+1;else quick=…1‟ then q1<=3;else q1=6 then door<=“00”;updown<=…0‟;down<=…1‟;else q1>=3 then door<=“01”;q1<=q1+1;else q1<=q1+1 ;door<=“00”;end if;end if;if g1=…1‟then led<=“1001111”;if d11=…1‟or c_u11=…1‟then d11<=…0‟;c_u11<=…0‟;;opendoor<=…1‟;else if dd_cc>000001 then en_up<=1;opendoor<=1;else if dd_cc=000000 then opendoor<=0;end if;else if g2=1 then led<=0010010;if updown=1 thenif d22=1 or c_u22=1 then d22<=0;c_u22<=0;opendoor<=1;else if dd_cc>000011 then en_up<=1;opendoor<=0;else if dd_cc<000010 then en_dw<=1;opendoor<=0;end if;else d22=1 or c_d22=1 then d22<=0;c_d22<=0;opendoor<=1;else dd_cc<000010 then en_dw<=1;opendoor<=0;else dd_cc>000011 then en_up<=1;opendoor<=0;end ifelse if g3=1 then led<=0000110;if d33=1 or c_d33=1 then d33<=0;c_d33<=0;opendoor<=1;else if dd_cc<011 then en_dw<=1;opendoor=<0;end if;else en_up<=0;en_dw<=0;end if;end if;else q<=1;alarm<=0;if d1=1 thend11<=d1;else if d2=1 then d22<=d2;else ifd3=1 then d33<=d3;end if;if c_u1=1 then c_u11<=c_u1;else if c_u2=1 then c_u22<=c_u2;end if;if c_d2=1 then c_d22<=c_d2;else if c_d3=1 then c_d33<=c_d3;end if;dd<=d33&d22&d11;cc_u<=0&c_u22&c_u11;cc_d<=c_d33&c_d22&0;dd_cc<=dd or cc_u or cc_d;end if;ud<=updown;led_d<=dd;led_c_u<=cc_u;led_c_d<=cc_d;end if;end process;end behave;2.3 程序调制(1)建立好工作库路径,以便设计工程项目的存储,打开Maxplus软件,其初始界面如图1所示:图1 初始界面(2)在工具栏中选择“新建”按钮,在选择输入路径时,选择“Text Editor File”以进行文本输入,如图2所示:图2 文本输入(3)在文本输入界面内进行程序输入,如图3所示:图3 程序图(4)输入完毕时,需要对程序进行保存。
【摘要】随着科技的迅速的发展,电梯已经成为生活中不可缺少的交通工具,电梯在国内的需求量也是与日俱增。
然而相比于其他发达国家比如欧美,我国的创新元素还还相对较少。
电梯的出现要追溯到上世纪,在那时电梯就已经融入到生活中并且取得了使用者的青睐.电梯的传统控制系统通常是采用继电器——接触器,而随着超大规模集成电路技术的成熟,FPGA作为一个新的生力军,在电梯的控制中已经取得了广泛的应用。
另外,随着可持续发展理念的深入人心,电梯更是融入了节能的元素。
由于FPGA内部集成了数以万计的逻辑单元,这样的特点使得它在电梯的控制上具有天然的优势。
本设计是基于VHDL硬件描述语言而实现的多层电梯控制与远程监控系统,在程序的设计上,主要采用了有限状态机,程序中设立了两个进程相辅相成,以信号灯控制进程作为辅助进程,状态机作为主要进城.本次设计是采用VHDL硬件描述语言而设计的十层电梯控制系统,以Altera公司的QUARTUS II软件作为开发平台,实现了电梯的基本功能以及远程监控功能。
【关键词】:电梯控制器,VHDL,状态机,远程监控ABSTRACTAlong with the science and technology rapid development, the elevator has become indispensable in life traffic tools, the elevator is also grow with each passing day in domestic demand。
However, compared to other developed countries such as Europe and the United States,innovation elements in China is still relatively small. The elevator can be traced to the last century, when the elevator already into life and made users. The traditional elevator control system uses the relay —contactor is usually, but with very large scale integrated circuit technology matures,FPGA as a new force, extensive application has been made in elevator control. In addition,with the win support among the people of the concept of sustainable development,the elevator is also incorporate energy—saving elements.Because the FPGA internal integration logic unit of tens of thousands of,this character makes it has a natural advantage in elevator control。
目录1.任务要求---------------------------------------------------------------------------2 2.程序分析---------------------------------------------------------------------------22.1电梯运行规则--------------------------------------------------------------------22.2程序设计说明--------------------------------------------------------------------5 3.程序调试--------------------------------------------------------------------------12 4.程序仿真-------------------------------------------------------------------------144.1波形输入建立------------------------------------------------------------------144.2电梯运行情况仿真--------------------------------------------------------------164.3电梯功能仿真-------------------------------------------------------------------195.实训感想---------------------------------------------------------------------------20 参考文献----------------------------------------------------------------------------201.任务要求在电子技术飞速发展的今天,现代电子产品几乎渗透到了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,加速了电子设计技术的普及进程及技术革新。
EDA电梯控制器课程设计EDA电梯控制器课程设计目录1 绪论 (1)1.1 电梯控制系统的发展史 (1)1.2 电梯的发展方向 (2)2 基于EDA技术的四层电梯控制器设计 (3)2.1 系统控制要求 (3)2.1.1 四层电梯控制器的功能 (3)2.1.2 控制方案的制定 (4)3 系统仿真 (8)4 结束语 (8)参考文献 (9)附录: (10)摘要:电梯是机械电器紧密结合的大型机电产品.主要由机房、井道、轿厢、门系统和电气控制系统组成。
伴随建筑业的发展,为建筑内提供上下交通运输的电梯工业也在日新月异地发展着。
电梯已不但是一种生产环节中的重要设备,更是一种工作和生活中的必须设备,完全能够预想到,随着社会的发展,电梯产品在人们物质文化生活中的地位将和汽车一样,成为重要的运输设备之一。
关键字:电梯控制器,CPLD,VHDL状态机,EDA。
1 绪论1.1 电梯控制系统的发展史从1889年出现第一台名副其实的电梯后,电梯控制技术经历了四次大的发展。
1)继电器控制阶段。
在计算机技术还没有广泛应用于工业控制领域时,继电器一直充当着工业控制中的核心部件,电梯控制系统领域也不例外。
上世纪八十年代以前,继电器控制一直是中国电梯控制系统的全部。
到了八十年代,继电器控制依然是电梯控制系统中的主导技术。
(2)PLC控制阶段。
PLC实际上是一种通用的工业控制技术,在进入电梯控制系统以前,已被广泛应用于其它各种工业控制领域。
在中国,从上世纪八十年代末开始,PLC逐渐被各电梯厂家成功应用到电梯控制系统中。
九十年代,国内自己开发、设计的电梯控制系统中,PLC系统占绝对主导地位。
(3)微机控制系统。
实际上,在发达国家的著名电梯生产厂家中,如奥的斯、三菱等,它们根本就没有用过PLC作为电梯控制系统。
早在上世纪七十年代末期或八十年代初,专用的微机电梯控制系统已经投入市场。
早期中国国内生产的专用微机电梯控制系统,基本上都是从国外引进技术的产品。
摘要用层次化的设计方法,通过硬件描述语言VHDL对电梯控制器各功能模块进行编程,并使用MAX+PLU SⅡ软件对该程序进行了编译、仿真,结果表明该电梯遵循方向优先的原则提供三个楼层多用户的载客服务并指示电梯的运行情况。
关键词:电子设计自动化EDA VHDL 电梯MAX+PLU SⅡ一、引言1.1 EDA技术的发展伴随着集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。
电子设计自动化是一种实现电子系统或电子产品自动化设计的技术,它与电子技术、微电子技术的发展密切相关,它吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,促进了工程发展。
EDA技术的发展始于70年代,至今经历了三个阶段。
电子线路的CAD(计算机辅助设计)是EDA发展的初级阶段,80年代初期,形成了CAE一计算机辅助工程。
也就是所谓的EDA技术中级阶段。
90年代出现了以自动综合器和硬件描述语言为基础,全面支持电子设计自动化的ESDA(电子系统设计自动化),即高级EDA阶段、也就是目前常说的EDA。
使用EDA技术设计的结果既可以用FPGA/CPLD来实施验证,也可以直接做成专用集成电路(ASIC)。
EDA的一个重要特征就是使用硬件描述语言(HDL)来完成的设计文件,诞生于1982年的VHDL语言是经IEEE确认的标准硬件描述语言,在电子设计领域受到了广泛的接受。
1.2电梯技术发展背景电梯是垂直运行的电梯(通常也简称为电梯)、倾斜方向运行的自动扶梯、倾斜或水平方向运行的自动人行道的总称。
有了电梯,摩天大楼才得以崛起,现代城市才得以长高。
自1889美国的奥的斯升降机公司推出了世界第一部以直流电动机为动力诞生名副其实的电梯,从而彻底改写了人类使用升降工具的历史。
上世纪90年代,随的升降机着世界经济快速发展及经济全球化, 发达的工业化国家纷纷研制出高速及超高速电梯,电梯不仅是代步的工具,也是人类文明的标志,其技术的发展正体现了社会的进步与文明。
5.8 电梯控制器程序设计与仿真实验1.实验目的练习复杂VHDL语言程序设计,设计一个电梯控制器。
2.实验原理设计一个6层楼的电梯控制器,该控制器可控制电梯完成6层楼的载客服务,而且遵循方向优先原则,并能响应提前关门延时关门,并具有超载报警和故障报警;同时指示电梯运行情况和电梯内外请求信息。
电梯方向优先控制方式控制器系统方框图如图5.8.1所示。
图5.8.1 电梯方向优先控制方式控制器系统方框图(1)外部数据高速采集模块设计控制器采用FPGA作为系统控制的核心,系统时钟频率是32.0000MHz,完全可以满足实时采集数据的要求。
由于电路中毛刺现象的存在,信号的纯净度降低,单个毛刺往往被误作为系统状态转换的触发信号,严重影响电梯的正常工作。
可以采用多次检测的方法解决这个问题,对一个信号进行多次采样以保证信号的可信度。
外部请求信号的输入形式为按键输入,到达楼层信号来自光敏传感器,关门中断信号及超载信号则产生于压力传感器。
键盘、光敏外部输入接口电路未设计。
(2)信号存储模块电梯控制器的请求输入信号有18个(电梯外有6个上升请求和6个下降请求的用户输入端口,电梯内有6个请求用户输入端口),由于系统对内、外请求没有设置优先级,各楼层的内、外请求信号被采集后可先进行运算,再存到存储器内。
电梯运行过程中,由于用户的请求信号的输入是离散的,而且系统对请求的响应也是离散的,因此请求信号的存储要求新的请求信号不能覆盖原来的请求信号,只有响应动作完成后才能清除存储器内对应的182请求信号位。
对应某一楼层的请求信号的存储、清除电路如图5.8.2所示。
图5.8.2 请求信号操作电路(3)基于FPGA的中央处理模块中央数据处理模块是系统的核心,通过对存储的数据(含请求、到达楼层等信号)进行比较、判断以驱动系统状态的流转。
电梯工作过程中共有9种状态:等待、上升、下降、开门、关门、停止、休眠、超载报警以及故障报警状态。
一般情况下,电梯工作起始点是第一层,起始状态是等待状态,启动条件是收到上升请求。
目录前言 (1)1 绪论 (2)1.1 课程设计题目 (2)1.2 设计目的 (2)1.3 课程设计要求 (2)1.4 课程设计思想 (3)2 软件介绍 (4)3课程设计步骤 (6)3.1 状态机的基本原理 (6)3.2 电梯控制器的功能模块 (6)3.3 电梯控制器的流程图 (7)3.4 电梯控制器的VHDL描述模块流程 (8)3.5 实体设计 (8)3.6 结构体设计 (8)3.7 VHDL源代码语法的简单说明 (9)3.8 电梯输入输出端口图 (10)4 三层电梯控制器的调试及仿真 (11)4.1 程序的调试 (11)4.2 波形仿真 (11)5课程设计的意义 (15)5.1 设计背景 (15)5.2 课程设计的意义 (15)5.2.1 中国电梯的现状 (15)5.2.2 电梯的节能和环保 (16)5.2.3 电梯的智能化 (16)6 总结 (17)参考文献 (18)附录 (19)前言电梯作为垂直方向的交通工具,在高层建筑和公共场所已成为不可或缺的设备。
中国是全球最大的电梯市场,也具有最强的电梯生产能力,但由于缺乏自主知识产权和核心技术,自主品牌占市场的份额很少。
因此要加大对电梯技术的创新和发展,提升电梯的性能,就需要引进更好的技术,电梯控制器就是很好的装置,大力开发控制器是很必要的。
电梯控制器可以有很多实现方式,本设计用了EDA技术进行操作。
EDA 技术打破了软件和硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率与产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。
VHDL主要用于描述数字系统的接口,结构和功能,它的语法简单易懂,移植性好。
我设计的是一个3层电梯控制器。
分为主控制器和分控制器。
主控制器是电梯内部的控制器,每层电梯入口处有一个分控制器。
本设计采用VHDL,源程序Altera公司的Quartus II软件仿真。
运用有限状态机的设计方法,设计了两个进程相互配合,状态机进程作为主要进程,信号灯控制进程作为辅助进程。
本科生毕业论文(设计)基于EDA技术的电梯控制器设计院系:信息工程学院专业:电子信息工程目录中文摘要 (2)外文摘要 (3)引言 (4)1. 绪论 (5)1.1EDA技术 (5)1.1.1 EDA的介绍与发展 (5)1.1.2 EDA的设计流程及优点 (5)1.1.3 VHDL介绍 (5)1.2国内外研究现状及发展趋势 (6)1.2.1 电梯智能化及其实现 (6)1.2.2 接口层的实现 (7)2. 四层电梯控制器的系统设计 (8)2.1四层电梯控制器的功能及设计要求 (8)2.2四层电梯控制器的设计思路 (9)2.2.1 电梯控制器流程 (9)2.3电梯控制器的功能 (11)2.4电梯系统的设计 (12)3.四层电梯控制器的调试及仿真 (13)3.1MAX+PLUSⅡ的流程介绍 (13)3.2各模块的VHDL语言 (13)3.2.1 主控制器模块 (13)3.2.2 数据选择器模块设计 (15)3.2.3 译码器模块 (17)3.2.4 分频器模块 (18)4. 四层电梯控制器的波形仿真 (19)结束语 (23)参考文献 (24)致谢 (25)1基于EDA技术的电梯控制器设计*****指导老师:*****(*****)摘要:电梯作为现代化的产物,早在上个世纪就进入了我们的生活之中。
对于电梯的控制,传统的使用继电器-接触器系统进行控制已不能满足人们的要求。
随着EDA技术的发展FPGA已经广泛运用于电子设计控制的各个方面。
本设计是基于VHDL语言开发的四层电梯控制器。
以Max+PlusⅡ为开发环境。
其功能包括:显示电梯当前所在楼层、显示有请求发生的楼层、相应楼层请求、关门延时控制、电梯开关门显示。
关键字:电梯控制器;EDA;VHDL状态机2The Elevator Controller Based on VHDL*****Director:*****(Information Engineering College, *****)Abstract:The lift, as the modernized result, is entered our life in last century. For the elevator control,the traditional approach is to use relay-contactor control system to control. With the development of EDA technology, FPGA has been widely use in all aspects of electronic design control. The graduation project is best on the VHDL language development of four elevator control. To Max+PlusⅡdevelopment. Its features include: show floor where the lift current, show that the request happenedfloors, floor to respond to the request, closing delay setting, elevate door open display.Key Words:Elevator controller;EDA;VHDL condition machine3引言在现代化城市的高速发展中,一幢幢高楼拔地而起。
摘要电梯作为现代化的产物,早在上个世纪就进入了我们的生活之中。
大规模的经济建设尤其是蓬勃发展的房地产业给国内电梯行业开拓了更为广阔的市场。
随着经济建设的持续高速发展,我国电梯需求量越来越大。
由此,一个更为庞大的电梯市场已经在国内轰然形成。
我国以前主要都是依靠国外的进口技术,本国的电梯厂商主要都是依靠为进口电梯作销售代理或者售后维修进行经营。
但是随着技术的革新和与国外的交流,当今经济建设需求的各类电梯,几乎全部都可以在中国生产。
电梯生产作为一门国家的新兴产业,它这种能有减少人口膨胀对环境所造成的巨大压力的特性,注定了其在中国具有一片光明的前景。
本设计就是基于电子设计自动化(Electronic Design Automation)技术中的甚高速集成电路硬件描述语言(Very High Speed Integrated Circuit Hardware Descrisioon Language)语言所开发的三层电梯控制程序,实验调试平台是Altera公司的MAX+plusII软件。
本程序具有VHDL语言设计里最为常用的三个模块:实体entity,对控制器的实体(输入输出)端口进行定义;结构体architecture,对控制器内部的信号端以及寄存器进行定义;进程执行单元process,对控制器的所有输入输出端口、内部信号端口以及寄存器进行功能、行为描述。
通过程序调试及运行仿真,结果表明,本程序可以完成:电梯运行所在楼层指示、电梯运行方向指示、关门延时设置、看门狗报警、超载报警、故障报警等。
本设计对更高层的电梯控制设计具有一定的拓展性。
关键词:电梯控制程序设计EDA VHDL MAX+plusII英文缩略词:EDA Electronic Design Automation 电子设计自动化VHSIC Very High Speed Integrated Circuit 甚高速集成电路VHDL Very High Speed Integrated Circuit Hardware Descrisioon Language甚高速集成电路硬件描述语言MAX+plusII Multiple Array Matrix and Programmable Logic User System多阵列矩阵和可编程逻辑使用者系统CAD Computer Adied Design 计算机辅助设计CAM Computer Adied Manufacture 计算机辅助制造CAT Computer Adied Testing 计算机辅助测试CAE Computer Adied Engineering 计算机辅助工程HDL ardware Descrisioon Language 硬件描述语言PLC Programmable Logic Controller 可编程逻辑控制器VLSI Very Large Scale Integration 超大规模集成电路FPGA Field Programmable Gate Array 场效应可编程门阵列CPLD Complex Programmable Logic Device 复杂可编程逻辑器件IEEE the Institute of Electrical and Electronics Engineers 电工和电子工程师协会EPLD Eraserable Programmable Logic Device 可擦写可编程逻辑器件目录1 前言 (1)1.1问题的提出 (1)1.2设计目的 (1)2 设计的基础依据 (2)2.1EDA技术介绍 (2)2.2VHDL语言介绍 (3)2.3MAX+PLUS II软件介绍 (3)3 文献综述 (5)3.1PLC在电梯控制中的应用介绍 (5)3.2单片机在电梯控制中的应用介绍 (6)3.3电梯控制的未来 (8)4总体方案的确定 (8)4.1基于PLC技术的电梯控制设计方案 (8)4.2基于EDA技术的电梯控制设计方案 (9)4.3方案选择 (10)5程序设计及调试 (11)5.1算法分析 (11)5.1.1 电梯运行规则 (11)5.1.2 程序流程分析 (15)5.2程序设计说明 (16)5.2.1端口、寄存器设计说明 (16)5.2.2 模块设计说明 (17)5.2.3 具体语句设计说明 (20)5.3程序调试 (23)6 程序仿真 (27)6.1波形输入建立 (27)6.2电梯运行情况仿真 (29)6.3电梯功能仿真 (32)7 结论 (33)7.1设计功能实现情况 (33)7.2设计存在问题 (33)7.3进一步完善建议 (33)致谢 (35)参考文献 (36)英文摘要 (37)附录 (38)毕业设计成绩评定表1 前言1.1 问题的提出当今世界,部分地区人口高度密集,人和土地资源短缺的矛盾日趋激化。
这就注定了必须合理地利用土地去解决人与土地的矛盾。
而兴建高层建筑是其中的有效措施之一。
因此,能使人们快速、便捷地到达目的楼层的电梯便应运而生了。
在一些发达国家和地区,人均电梯拥有数量一般在每万人30台以上,某些国家甚至达到每万人120台以上,随着城镇化程度的加大,电梯市场会更加繁华。
中国的电梯市场增长也很乐观,目前,每年增长率为15%—18%。
随着电梯普及率的升温,人们对电梯的要求也会越来越高。
如何更安全、更快捷地到达目的楼层,也就成了人们对电梯最为根本的要求。
而电梯系统里掌控这方面技术参数的是电梯控制系统。
因此,控制系统的设计就成了在电梯设计领域里最为核心的技术。
在电子技术飞速发展的今天,现代电子产品几乎渗透到了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,加速了电子设计技术的普及进程及技术革新。
电子设计在日常生活和物质产品生产都占到了举足轻重的地位,这尤其体现在其对电子产品的开发和设计上。
面对如此广袤的电梯市场,所谓“科技就是第一生产力”,处于科技前沿的电子设计技术很自然地就与电梯控制设计一拍即合,给设计师们以巨大的设计空间。
因此,本设计就是希望在以开发更安全、更快捷的三层电梯控制系统为前提下,结合电子设计技术,对电梯控制进行设计。
1.2 设计目的三层电梯广泛应用在大型的货运之中。
其使用便捷,货运周期短,效率高,成本低,对货运事业具有相当的经济价值。
在客运上,三层电梯虽然涉及楼层不高,应用范围不大,但就特殊而言,可以为一些上下楼层不方便的人们提供相当的帮助,照顾了这些特殊群体的感情。
因此,在某种层面上说,三层电梯的设计也具有一定的社会价值。
本着“一理通,百理明”的原则,本设计希望通过在简单的三层电梯控制设计之中如何解决升、降请求信号因电梯所处状态而产生的冲突等问题发散出去,由此及彼,对高层电梯控制的开发作一个技术的铺垫,为高层电梯设计者提供一个基础。
针对目前中小型电梯所能实现的功能,本控制设计拟实现以下这些功能:(1)指示电梯运行所在楼层;(2)指示电梯运行方向;(3)关门延时设置;(4)看门狗报警;(5)超载报警;(6)故障报警。
2 设计的基础依据现代电子设计技术的核心是EDA技术。
基于EDA技术开发的实现三层电梯自动控制与目前主流的利用可编程逻辑控制器实现电梯控制紧密相连。
硬件描述语言是EDA技术的重要组成部分,VHDL是作为电子设计主流硬件的描述语言。
使用VHDL语言进行程序的设计,在MAX+plusII软件上对程序进行编译、仿真。
在MAX+plusII平台上的开发具有编程软件具有采自易学易懂的梯形图语言、控制灵活方便、抗干扰能力强、运行稳定可靠等优点。
2.1 EDA技术介绍EDA技术是20世纪90年代初从计算机辅助设计(Computer Adied Design)、计算机辅助制造(Computer Adied Manufacture)、计算机辅助测试(Computer Adied Testing)和计算机辅助工程(Computer Adied Engineering)的概念发展而来的。
随着超大规模集成电路(Very Large Scale Integration)规模和技术复杂度的急剧增长,一块芯片内集成门已可达几十万甚至几百万门,并且还在迅速增长,电子系统的人工设计已十分困难,必需依靠电子设计自动化技术。
在利用EDA进行集成电路设计时,应采用高效率的TOP-DOWN设计方法,即根据系统的行为和功能要求,自上而下地依次完成相应的描述、综合、优化、仿真与验证,直到生成器件。
在电路描述时主要采用硬件描述语言(HDL)。
硬件描述语言是用于设计硬件电子系统的计算机语言,它描述电子系统的逻辑功能、电路结构和连接方式。
设计者可以利用HDL程序来描述所希望的电路系统,规定其结构性和电路的行为方式;然后利用EDA工具将此程序变成能控制场效应可编程门阵列(Field Programmable Gate Array)/复杂可编程逻辑器件(Complex Programmable Logic Device)内部结构并实现相应逻辑功能的门级或更底层的结构网表文件和下载文件。
就FPGA/CPLD开发来说,比较常用和流行的HDL主要有ABEL-HDL和VHDL等。
EDA技术的基本特征和基本工具总的来说,现代EDA技术的基本特征是采用高级语言描述,具有系统级仿真和综合能力。
它主要采用并行工程和“自顶向下”的设计方法,使开发者从一开始就要考虑到产品生成周期的诸多方面,包括质量、成本、开发时间及用户的需求等等,然后从系统设计入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错、并用VHDL、Verilog-HDL、ABEL等硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证,然后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。
简单来说就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。
从另一方面看,在现代高新电子产品的设计和生产中,微电子技术和现代电子设计技术是相互促进、相互推动又相互制约的两个技术环节。
前者代表了物理层在广度和深度上硬件电路实现的发展,后者则反映了现代先进的电子理论、电子技术、仿真技术、设计工艺和设计技术与最新的计算机软件技术有机的融合和升华。
因此,严格地说,EDA 技术应该是这二者的结合,是这两个技术领域共同孕育的奇葩。
2.2 VHDL语言介绍VHDL语言于1983年由美国国防部发起创建,由电工和电子工程师协会(the Institute of Electrical and Electronics Engineers)进一步发展并在1987年作为“IEEE 1076”发布。