数字电压表实习报告
- 格式:pdf
- 大小:407.95 KB
- 文档页数:16
交流数字电压表电子电路课程设计2011年9月13日至9月23日(一)、技术指标1、整体功能要求交流数字电压表的功能是,测量正弦电压有效值,以数码管显示测量结果。
2、系统结构要求交流数字电压表的系统结构框图如下图:交流数字电压表的系统结构框图3、电气指标(1)、被测信号频率范围:10Hz~10kHz。
(2)、被测信号波形:正弦波。
(3)、显示数字含义:有效值。
(4)、档位:分三档:1.0V~9.9V0.10V~0.99V0.010V~0.099V。
(5)、显示方式:两位数码显示。
4、扩展指标可自动换档5、设计条件电源条件:直流稳压电源提供±5V。
可供选择的器件:LM324(TL084) 运算放大器2片LM139 二四线译码器1片CC4052四选一模拟开关1片74161四位二进制计数器1片4511显示译码器2片2AP9检波二极管2只5.1V稳压管2只发光二极管3只28C64存储器1片ADC0804A/D转换器1片门电路、各种阻容件自定。
(二)、方案设计数字电压表(Digital V oltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流或交流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。
它利用A/D转换原理,将被测模拟量转换成数字量,并用数字方式显示测量结果的电子测量仪表。
而交流是指输入的信号是正弦波,电压表需要显示的是正弦信号的有效值。
电路中需有交直流转换。
由测量电压范围可知,显示输入电压的有效值在0.01V至9.9V范围,分成三档。
意味着输入正弦信号的峰峰值为0.028V 至28V。
因此,输入需有量程转换及衰减电路。
为此,总体方案如下图:交流数字电压表的组成框图(三)、单元电路设计1、输入电路作为仪表,为减少对被测信号的影通常输入阻抗都比较高,一般在1MΩ左右。
另被测信号的最大有效值为9.9V,正弦信号的峰峰值为28V,这么大的信号,无法正常工作,因此,在输入电路中要进行衰减,可作一10:1的电路。
河南工程学院实习报告专业电气自动化技术班级 1331班姓名赵庆飞学号 2013207091342014年12月1日实习(训)报告评语等级:评阅人:职称:年月日河南工程学院实习(训)报告实习目的(内容):数字电压表的制作与设计实习时间:自 12月 1日至12月 14 日共14天。
实习地点:实验室3号实验楼实习单位:电气信息工程学院指导老师:陶春鸣摘要随着微电子技术的不断发展,微处理器芯片的集成程度越来越高,单片机已可以在一块芯片上同时集成CPU、存储器、定时器/计数电路,这就很容易将计算机技术与测量控制技术结合,组成智能化测量控制系统。
数字电压表它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。
与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。
本章重点介绍单片A/D 转换器以及由它们构成的基于单片机的数字电压表的工作原目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力理。
AT89C52单片机的一种电压测量电路,该电路采用ADC0832本文介绍一种基于A/D转换电路,测量范围直流 0~5V 的4路输入电压值,并在四位LED数码管上显示或单路选择显示。
测量最小分辨率为0.019V,测量误差约为正负0.02V。
本次设计就是为了更好地掌握单片机及相关的电子技术,在参阅一些资料的基础上利用ADC0832而设计的数字电压表。
一、设计目的与功能要求A、设计目的利用单片机及ADC0832核心元件制作三位半数字电压表,更好地学习掌握ADC0832的工作原理及A/D的转换编程方法。
B、功能要求利用ADC0832设计实现数字电压表的测量值为0~5V,用电位器模拟ADC0832的输入电压,用3位数码管显示,实时模拟数字电压表。
二.总体设计A、系统设计主要分为两部分:硬件电路及软件程序。
自动化与电气工程学院电子技术课程设计报告题目数字电压表的制作专业班级学号学生姓名指导教师二○一三年七月一、课程设计的目的与意义1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作内容和具体的设计方法。
2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。
3.通过设计也有助于复习和巩固以往的模电、数电内容,达到灵活应用的目的。
在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。
在此过过程中培养从事设计工作的整体观念。
4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。
二、电路原理图数字电压表原理图三、课程设计的元器件1.课程设计所使用的元器件清单:2.主要元器件介绍(1)芯片ICL7107:ICL7107的工作原理双积分型A/D转换器ICL7107是一种间接A/D转换器。
它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。
它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。
积分器是A/D转换器的心脏,在一个测量周期内,积分器先后对输入信号电压和基准电压进行两次积分。
比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。
时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。
它是由内部的两个反向器以及外部的RC组成的。
其振荡周期Tc=2RCIn1.5=2.2RC 。
ICL7106A/D转换器原理图计数器对反向积分过程的时钟脉冲进行计数。
控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。
分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。
暑期实习报告——数字电压表电子实习报告数字电压表姓名:严宏海学号:20101003032班级:075102-22指导老师:王巍、郝国成、张晓峰、闻兆海、王国洪、吴让仲、李杏梅、王瑾中国地质大学(武汉)机械与电子信息学院通信工程专业2012年6月22日目录一、前言 (2)二、系统方案的选择和论证 (2)2.1数模转换模块 (2)2.2模拟数据采集处理模块 (2)2.3 液晶显示模块 (3)2.4AC-DC转换模块 (3)三、软件硬件设计 (3)3.1所采用实验方案的原理 (3)3.2硬件设计部分 (4)3.3软件设计部分 (8)四、测试数据与结果分析 (9)4.1测试仪器 (9)4.2测试数据 (9)4.3测试数据分析 (10)五、实验心得 (14)六、参考文献 (14)七、附录 (14)一、前言本数字电压表可以对直流电源和交流电压进行比较准确的测量。
其中直流部分的测量范围是0-20V,分为三个档位:0-0.2V,0.2V-2V,2V-20V。
该直流电压表的测量电路主要由三个模块组成:模拟数据采集处理模块、A/D转换模块及液晶显示控制模块。
模拟数据的采集处理模块由模拟电路部分完成。
A/D转换模块主要由芯片ADC0809来完成,它负责把采集到的模拟量转换为相应的数字量再传送到STC89S51单片机进行数据处理。
显示模块是单片机将处理好的数据送到液晶1602进行显示。
交流部分的测量范围是0-5V,测量的是交流电压的有效值。
该交流电压表的测量电路由三个模块构成:AC-DC转换模块、电压补偿模块、直流电压表测试模块。
其中AC-DC转换模块由AD637完成。
电压补偿模块对AD637的非线性部分进行补偿,由LM324完成。
直流电压表测试模块由直流部分完成。
二、系统方案的选择和论证2.1数模转换模块方案一:用分离元件完成数据采集AD转换的功能。
该方案由于需要大量的元器件,实现起来比较复杂,而且精度不易控制。
方案二:选则集成芯片ADC0809。
成都理工大学工程技术学院《单片机仿真》课程设计报告题目:数字电压表的设计姓名:杨青昀学号: 201020305125专业: 10电气传动一班【实验准备】在实验前,我通过上网、上图书馆查找了一些关于单片机AT89S51的硬件及指令系统的资料和ADC0808输出口的应用的资料,结合单片机所学的中断、定时器和AT89S51扩展I/O接口芯片ADC0808的工作方式的知识,并对电路板各个元器件、接线等的清楚认识,完成了对电路板仿真图的绘制,以及初步的程序,并实现了初步的仿真效果。
【设计内容】设计一个数字电压表。
【设计要求】所设计的数字电压表可以测量0~5的电压,并在四位LED数码管上显示出来。
CPU为AT89S51,利用并行口P0口、P2口、P3口,并运用了单片机的扩展输入-输出口以及接口芯片ADC0808。
【设计方案】一、实验原理方框图:本程序设计意在展示数字电压表的测量。
通过对一些相关书籍资料的查阅及网上各种作品和代码的浏览,对各种实现代码的比较和优化,总结出本程序,以较优秀的代码实现各种可控的“数字电压表”功能。
硬件系统部分包括显示部分、控制P口的方式部分和控制芯片。
1、系统框图如下:开始初始化及输入通道设定启动A/D转化A/D转化是否结束?调用数据处理子程序调用显示子程序N Y二、电路结构1、芯片的接线电路原理图:(1)、ADC0808的21~17引脚作为数字电压表的输出口(2)、D0~D7—数据输出线。
(3)、START—转换启动信号。
(4)、OE—输出允许信号(5)、EOC—转换结束状态信号EOC=0,正在进行转换。
EOC=1,转换结束。
(6)、VCC—+5V电源。
(7)、V REF(+)、V REF(-)—基准参考电压。
(8)、A、B、C—模拟通道地址线。
A 为低地址,C 为高地址。
2、AT89C51芯片分析ATMEL 的AT89C51是一种高效微控制器,将多功能8位CPU 和闪烁存储器组合在单个芯片中,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。
简易数字电压表设计报告姓名:***班级:自动化1202学号:****************:***2014年11月26日一.设计题目采用C8051F360单片机最小系统设计一个简易数字电压表,实现对0~3.3V 直流电压的测量。
二.设计原理模拟输入电压通过实验板PR3电位器产生,A/D转换器将模拟电压转换成数字量,并用十进制的形式在LCD上显示。
用一根杜邦实验线将J8口的0~3.3V输出插针与J7口的P2.0插针相连。
注意A/D转换器模拟输入电压的范围取决于其所选择的参考电压,如果A/D 转换器选择内部参考电压源,其模拟电压的范围0~2.4V,如果选择外部电源作为参考电压,则其模拟输入电压范围为0~3.3V。
原理框图如图1所示。
图1 简易数字电压表实验原理框图三.设计方案1.设计流程图如图2所示。
图2 简易数字电压表设计A/D转换和计时流程图2.实验板连接图如图3所示。
图3 简易数字电压表设计实验板接线图3.设计步骤(1)编写C8051F360和LCD初始化程序。
(2)AD转换方式选用逐次逼近型,A/D转换完成后得到10位数据的高低字节分别存放在寄存器ADCOH和ADC0L中,此处选择右对齐,转换时针为2MH Z。
(3)选择内部参考电压2.4V为基准电压(在实际单片机调试中改为3.311V),正端接P2.0,负端接地。
四、测试结果在0V~3.3V中取10组测试数据,每组间隔约为0.3V左右,实验数据如表1所示:显示电压(V)0.206 0.504 0.805 1.054 1.406实际电压(v)0.210 0.510 0.812 1.061 1.414相对误差(%) 1.905 1.176 0.862 0.659 0.565显示电压(V) 2.050 2.383 2.652 2.935 3.246实际电压(v) 2.061 2.391 2.660 2.943 3.253相对误差(%)0.421 0.334 0.301 0.272 0.215表1 简易数字电压表设计实验数据(注:其中显示电压指LCD显示值,实际电压指高精度电压表测量值)五.设计结论1.LCD显示模块的CPLD部分由FPGA充当,芯片本身自带程序,所以这个部分不用再通过quartus软件进行编程。
一、实训目的1. 掌握单片机的基本原理和操作方法。
2. 学会使用单片机进行数字电压的测量。
3. 熟悉数字电压表的硬件电路设计和软件编程。
4. 提高动手实践能力和问题解决能力。
二、实训内容1. 数字电压表概述数字电压表(Digital Voltmeter,简称DVM)是一种将模拟电压信号转换为数字信号的测量仪器。
它具有测量精度高、读数直观、易于操作等优点,广泛应用于电子测量、工业自动化、科学研究等领域。
2. 硬件电路设计(1)单片机选型:选用AT89C51单片机作为核心控制器,具有丰富的片上资源,满足数字电压表设计需求。
(2)模数转换器(ADC)选型:选用ADC0809模数转换器,具有8路模拟输入端口,可实现8路电压信号的采集。
(3)电压输入电路设计:设计多路电压输入电路,包括电压分压、滤波等,确保输入电压信号稳定、准确。
(4)显示模块选择:选用LCD1602液晶显示屏,可实时显示采集到的电压值。
3. 软件设计(1)初始化程序:设置单片机工作模式、波特率、中断等参数,初始化ADC0809和LCD1602。
(2)ADC采集程序:通过单片机控制ADC0809进行电压信号的采集,将模拟电压信号转换为数字信号。
(3)数据处理程序:对采集到的数字电压信号进行处理,计算实际电压值。
(4)显示程序:将计算得到的电压值显示在LCD1602上。
4. 调试与测试(1)硬件调试:检查电路连接是否正确,元器件是否损坏,确保电路正常工作。
(2)软件调试:使用Keil软件进行程序编译、仿真和调试,确保程序运行正确。
(3)功能测试:通过实际测量,验证数字电压表的功能是否满足设计要求。
三、实训结果与分析1. 测量精度通过实际测量,数字电压表在0~5V范围内具有较高的测量精度,最小分辨率为0.019V,误差约为±0.02V。
2. 测量速度数字电压表具有较快的测量速度,可在短时间内完成电压信号的采集、处理和显示。
3. 稳定性和可靠性数字电压表电路设计合理,元器件选用合格,具有较强的稳定性和可靠性。
综合实验三213位直流数字电压表一、实验目的 1、了解双积分式A / D 转换器的工作原理2、熟悉213位A / D 转换器CC14433的性能及其引脚功能3、掌握用CC14433构成直流数字电压表的方法二、实验原理直流数字电压表的核心器件是一个间接型A / D 转换器,它首先将输入的模拟电压信号变换成易于准确测量的时间量,然后在这个时间宽度里用计数器计时,计数结果就是正比于输入模拟电压信号的数字量。
1、V -T 变换型双积分A / D 转换器图3-1是双积分ADC 的控制逻辑框图。
它由积分器(包括运算放大器A 1 和RC 积分网络)、过零比较器A 2,N 位二进制计数器,开关控制电路,门控电路,参考电压V R 与时钟脉冲源CP图3-1 双积分ADC 原理框图转换开始前,先将计数器清零,并通过控制电路使开关 S O 接通,将电容C 充分放电。
由于计数器进位输出Q C =0,控制电路使开关S 接通v i ,模拟电压与积分器接通,同时,门G 被封锁,计数器不工作。
积分器输出v A 线性下降,经零值比较器A 2 获得一方波v C ,打开门G ,计数器开始计数,当输入2n个时钟脉冲后t =T 1,各触发器输出端D n-1~D O 由111…1回到000…0,其进位输出Q C =1,作为定时控制信号,通过控制电路将开关S转换至基准电压源-V R ,积分器向相反方向积分,v A 开始线性上升,计数器重新从0开始计数,直到t =T 2,v A 下降到0,比较器输出的正方波结束,此时计数器中暂存二进制数字就是v i 相对应的二进制数码。
2、213位双积分A / D 转换器CC14433的性能特点 CC14433是CMOS 双积分式213位A / D 转换器,它是将构成数字和模拟电路的约7700多个MOS 晶体管集成在一个硅芯片上,芯片有24只引脚,采用双列直插式,其引脚排列与功能如图18-2所示。
图3-2 CC14433引脚排列引脚功能说明:V AG (1脚):被测电压V X 和基准电压V R 的参考地V R (2脚):外接基准电压(2V 或200mV )输入端V X (3脚):被测电压输入端R 1(4脚)、R 1 /C 1(5脚)、C 1(6脚):外接积分阻容元件端C 1=0.1μf (聚酯薄膜电容器),R 1=470K Ω(2V 量程);R 1=27K Ω(200mV 量程)。
单片机课程设计报告设计题目:数字电压表专业班级:通信一班组员姓名:1:学号------------------ 姓名:--2:学号------------------ 姓名:--1.引言本次课程设计要求完成是数字电压表的设计,随着电子科学技术的发展,电子测量成为广大电子工作者必须掌握的手段,对测量的精度和功能的要求也越来越高,而电压的测量甚为突出,因为电压的测量最为普遍。
本次课程设计我们小组在参阅了大量前人设计的数字电压表的基础上介绍一种基于89C52单片机的一种电压测量电路,该电路采用ADC0809高精度A/D转换电路,测量范围直流0 至+5伏,使用7SE数码管显示模块显示。
正文着重给出了软硬件系统的各部分电路, 89C52的特点,ADC0809的功能和应用。
该电路设计新颖、功能强大、可扩展性强。
实验报告首先简要介绍了设计数字电压表的实验要求和目的;根据要求和目的设计出直流数字电压表的系统结构流程,以及硬件系统和软件系统的设计,并给出了硬件电路的设计细节,以及调试和仿真结果。
最后进行了实验和心得体会的总结。
通过完成一个包括电路设计和程序开发的完整过程,使自身了解开发单片机应用系统的全过程,强化巩固所学知识,为以后的学习和工作打下基础。
2.概述2.1实验要求采用ADC0809 和AT89C52 单片机及显示电路完成0~5V 直流电压的检测,并用4位数码管显示。
其测量最小分辨率为0.02V。
2.2实验目的(1)进一步熟悉和掌握单片机的结构和工作原理;(2)掌握单片机的接口技术及,ADC0809芯片的特性,控制方法;(3)通过这次实训设计,掌握以单片机为核心的电路设计的基本方法和技术;(4)通过实际程序设计和调试,逐步掌握模块化程序设计的方法和调试技术。
2.3 实验器材(1)AT89C52芯片(2)ADC0809的A/D 转换器件 (3)四位共阳数码管 (4)11.0592MHz 的晶振 (5)74HC573锁存器 (6)74HC14024做分频器(7)按键,10k 电阻,30pF 瓷片电容 3.总体设计方案3.1系统的总体结构实验原理:数字电压表的实现。
关于数字电压表专业综合实践报告篇一:数字电压表实习报告机械与电子信息学院通信工程系20xx年寒假实习报告题目:班序号:摘要:本数字电压表可以对直流电源和交流电压进行比较准确的测量。
其中直流部分的测量范围是0-20V,分为三个档位:0-0.2V,0.2V-2V,2V-20V。
该直流电压表的测量电路主要由三个模块组成:模拟数据采集处理模块、A/D转换模块及译码显示控制模块。
模拟数据的采集处理模块由模拟电路部分完成。
A/D转换模块主要由芯片ADC0809来完成,它负责把采集到的模拟量转换为相应的数字量再传送到AT89S51单片机进行数据处理。
译码显示模块是单片机将译好的数据传送到由74LS244驱动的4位7段数码管进行显示。
交流部分的测量范围是0-5V,测量的是交流电压的有效值。
该交流电压表的测量电路由三个模块构成:AC-DC 转换模块、电压补偿模块、直流电压表测试模块。
其中AC-DC转换模块由AD637完成。
电压补偿模块对AD637的非线性部分进行补偿,由LM324完成。
直流电压表测试模块由直流部分完成。
关键字:AT89S51,数模转换,AC-DC转换,数码管显示1. 系统方案的选择和论证1.1数模转换模块方案一:用分离元件完成数据采集AD转换的功能。
该方案由于需要大量的元器件,实现起来比较复杂,而且精度不易控制。
方案二:选则集成芯片ADC0809。
ADC0809的采样频率为8位的、以逐次逼近原理进行模—数转换的器件。
其内部有一个8通道多路开关,它可以根据地址码锁存译码后的信号,只选通8个单断模拟输入信号中的一个进行A/D转换。
由于本实验要求的测量误差是<=1%,显示精度是0.01V,本设计采用ADC0809就可以满足要求。
ADC0809的分辨率是1/28,量化间隔为=满量程输入电压/28-1,完成一次转换的时间是100us。
适合此设计使用。
方案三:采用其他采样频率位数更高的AD转换芯片,如积分型(如TLC7135)、压频变换型(如AD650)、调制型(如AD7705)、并行比较型/串并行比较型(如TLC5510)。
数字电压表实验报告数字电压表实验报告引言:数字电压表是一种用于测量电压的电子仪器,它通过将电压信号转换为数字形式来显示测量结果。
本实验旨在通过使用数字电压表来测量不同电压信号,并探究其测量原理和使用方法。
实验目的:1. 理解数字电压表的工作原理;2. 学习使用数字电压表测量直流电压和交流电压;3. 掌握数字电压表的使用技巧。
实验器材:1. 数字电压表;2. 直流电源;3. 交流电源。
实验步骤:1. 将数字电压表与直流电源连接,调整电源输出电压为5V;2. 打开数字电压表,选择直流电压测量模式;3. 将数字电压表的测量引线分别与电源的正负极连接;4. 观察数字电压表的显示结果,并记录测量数值;5. 重复步骤1-4,将电源输出电压调整为不同数值,如10V、15V等,记录测量结果。
实验结果:在实验过程中,我们使用数字电压表测量了不同电压信号,并记录了测量结果。
通过分析实验数据,我们发现数字电压表能够准确地测量直流电压,并显示出相应的数值。
在测量过程中,我们注意到数字电压表的显示屏幕上有一个小数点,用于表示小数位数。
当电压信号较小时,小数点会显示更多的位数,以提高测量精度。
此外,我们还发现数字电压表的测量结果具有一定的误差,这可能是由于仪器本身的精度限制或测量过程中的误差引起的。
讨论与分析:通过本次实验,我们深入了解了数字电压表的工作原理和使用方法。
数字电压表通过将电压信号转换为数字形式,并通过显示屏幕上的数字来表示测量结果。
在测量直流电压时,数字电压表能够提供较高的测量精度,并且可以根据电压信号的大小自动调整小数位数。
然而,在测量交流电压时,由于交流电压的波动性,数字电压表的测量结果可能会有一定的误差。
结论:本次实验通过使用数字电压表测量不同电压信号,深入了解了数字电压表的工作原理和使用方法。
我们发现数字电压表能够准确地测量直流电压,并提供较高的测量精度。
然而,在测量交流电压时,由于交流电压的波动性,数字电压表的测量结果可能会有一定的误差。
《数字电路》课程设计报告课题:数字电压表专业:电子信息工程班级:姓名:学号:指导老师:日期:2013年12月10日目录一、摘要 (2)二、设计任务及要求 (2)三、设计总体方案 (2)四、单元电路的设计 (3)五、调试过程及结果分析 (6)六、心得感悟 (7)七、参考文献 (7)八、附录(整机逻辑电路图、实物图、PCB板图) (8)一、摘要本文主要介绍的是基于ICL7107数字电压表的设计的设计,ICL7107是目前广泛应用于数字测量系统是一种集三位半转换器段驱动器位驱动器于一体的大规模集成电路,ICL7107是目前广泛应用于数字测量系统的一种3位半A/D转换器,能够直接驱动共阳极数字显示器,够成数字电压表,此电路简洁完整,稍加改造就可以够成其他电路,如数字电子秤、数字温度计的等专门传感器的测量工具。
ICL7107是目前广泛应用于数字测量系统是一种集三位半转换器段驱动器、位驱动器于一体的大规模集成电路,官地方官方主要用于对不同电压的测量和许多工程上的应用,调频接口电路,它采用的是双积分原理完成A/D转换,全部转换电路用CMOS大规模集成电路设计。
应用了ICL7107芯片数码管显示器等,芯片第一脚是供电,正确电压时DC5V,连接好电源把所需要测量的物品连接在表的两个端口,从而可以在显示器上看到所需要的结果。
在软件设计上,主要编写了实现计数频率的调节和单片机功能的相关程序,,最后把软件设计和硬件设计结合到一起,然后进行调试。
二、设计任务及要求1. 设计一个数字电压表电路,能够实验电压测量;2.测量范围:通过小数点驱动电路,直流电压0V到1.999V,0V到19.99V,0V 到199.9V,0V到1999V.3.画出数字电压表电路原理图,并作出PCB图;4.利用芯片ICL7107来实现电路功能;5.选择合适的电阻、电容、液晶显示器等器件;6.完成全电路理论设计、制作、调试,制板锡焊;7.上交制作产品一件。
实验五电压表实验1.设计过程:按照“建立项目文件”--“新建VHDL源文件”--“配置管脚”--“编译下载”--“芯片测试”的顺序。
原理图:1.FPGA的系统时钟来自于小脚丫FPGA开发板配置的24MHz时钟晶振,连接FPGA的C1引脚。
2.实验借助FPGA底板自带的ADC模块,具体信息如下:ADC模块的功能时实现模拟信号转换数字信号,主要包含P1(测试点)、Radj1(10K的可调电位器)和U3(8位串行模数转换器ADC081S)。
在不外接其他信号的情况下,旋转电位计,ADC081S的输入电压在0到3.3V之间变化,通过模数转换实现ADC的采样,原理图连接如图所示:3.实验思路如下:1.根据ADC模块的时序图,完成将ADC模块和FPGA引脚连接起来。
时序图如下:2. 根据程序的框图把完整的程序写出来,显示模块和译码模块利用以前写过的进行例化使用。
以下是程序的框图:VHDL 汇编语言如下: 2.总代码: library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;entity dianyabiao is port( clk: in std_logic;--定义输入和输出 sda: in std_logic; sclk: buffer std_logic; cs: out std_logic; sdata: out std_logic; sck: out std_logic; rck: out std_logic );end dianyabiao;architecture one of dianyabiao is component led_573 --例化port( rst: in std_logic; clk_t_in : in std_logic; sdata: out std_logic; H: in std_logic; ledcode1,ledcode2,ledcode3,ledcode4: in std_logic_vector(6 downto 0); dot: in std_logic_vector(3 downto 0);sda sck cssck: out std_logic;rck: out std_logic);end component;component seg7coderport(data_in: in integer range 0 to 15;seg7_out: out std_logic_vector(6 downto 0));end component;signal ad_phase: integer range 0 to 19;signal clk_t_in: std_logic;signal ad_clk: std_logic;signal ad_out:std_logic_vector(7 downto 0);signal ad:std_logic_vector(7 downto 0);signal data_in1:integer range 0 to 15;signal data_in2:integer range 0 to 15;signal seg1,seg2: std_logic_vector(6 downto 0); --七段码beginu1:seg7coderport map(data_in => data_in1,seg7_out => seg1);u2:seg7coderport map(data_in => data_in2,seg7_out => seg2);u3:led_573port map(rst=>'1',clk_t_in => clk,H => '1',dot => "0000",ledcode1 => seg1,ledcode2 => seg2,ledcode3 =>"1111110",ledcode4 =>"1111110",sdata => sdata,sck => sck,rck => rck);--得到分频时钟,50-20000khz的分频process(clk)variable divcnt: integer range 0 to 1000;beginif clk'event and clk='1' thenif divcnt=1000 thendivcnt:=0;clk_t_in<=not clk_t_in;elsedivcnt:=divcnt+1;clk_t_in<=clk_t_in;end if;end if;end process;--ad模快process(clk_t_in)-- ad_clk<=clk_t_in;beginif clk_t_in'event and clk_t_in = '1' thensclk<=not sclk;if sclk='1' thenif ad_phase = 19 thenad_phase<=0;elsead_phase<=ad_phase+1;end if;end if;if sclk='0' and ad_phase>16 then --保证cs的值cs<='1';elsecs<='0';end if;if ad_phase=3 and sclk='0' then --值的输出ad(7)<=sda;end if;if ad_phase=4 and sclk='0' thenad(6)<=sda;end if;if ad_phase=5 and sclk='0' thenad(5)<=sda;end if;if ad_phase=6 and sclk='0' thenad(4)<=sda;end if;if ad_phase=7 and sclk='0' thenad(3)<=sda;end if;if ad_phase=8 and sclk='0' thenad(2)<=sda;end if;if ad_phase=9 and sclk='0' thenad(1)<=sda;end if;if ad_phase=10 and sclk='0' thenad(0)<=sda;end if;if ad_phase=11 and sclk='0' thenad_out<=ad;end if;end if;end process;process(ad_out) --完成将ad_out的八位输出转化成两位16进制的数begincase ad_out(3 downto 0) is--when "0000"=>data_in1<=0;when "0001"=>data_in1<=1;when "0010"=>data_in1<=2;when "0011"=>data_in1<=3;when "0100"=>data_in1<=4;when "0101"=>data_in1<=5;when "0110"=>data_in1<=6;when "0111"=>data_in1<=7; when "1000"=>data_in1<=8; when "1001"=>data_in1<=9; when "1010"=>data_in1<=10; when "1011"=>data_in1<=11; when "1100"=>data_in1<=12; when "1101"=>data_in1<=13; when "1110"=>data_in1<=14; when "1111"=>data_in1<=15; end case;case ad_out(7 downto 4) is when "0000"=>data_in2<=0; when "0001"=>data_in2<=1; when "0010"=>data_in2<=2; when "0011"=>data_in2<=3; when "0100"=>data_in2<=4; when "0101"=>data_in2<=5; when "0110"=>data_in2<=6; when "0111"=>data_in2<=7; when "1000"=>data_in2<=8; when "1001"=>data_in2<=9; when "1010"=>data_in2<=10; when "1011"=>data_in2<=11; when "1100"=>data_in2<=12; when "1101"=>data_in2<=13; when "1110"=>data_in2<=14; when "1111"=>data_in2<=15; end case;end process;end architecture;3.管脚分配:4.实验现象:旋转电压旋钮,电压从00变化到FF,现象如下面所示:。
武汉职业技术学院——电信学院数字电压表设计实训报告书班级:电信09201姓名:范萍萍辅导老师:李军胡光夏李琼一.实验目的:1、通过数字电压表的实习,了管脚的功能。
3、使用单片机﹑模数转换器解各个芯片的工作的原理。
2、学习8051与ADC0809各个﹑数码管等芯片来共同完成电压表的实现,学习各个芯片连接的软件实现以及硬件电路的连接。
二。
使用软件介绍:1.Keil C u Vision 3.protel 99SE2.STC-ISP V29 Beta5: 4.proteus三.实训器材:1).STC 89c52RC单片机 2).4IN1共阳极七段数码管 3).发光二极管 4). 电阻、蜂鸣器、集成块、三极管、电容、实验板6)计算机四.实训要求:1).测量电压范围:0~5V电压2).能够显示测量的电压值,可在四位LED数码管上显示,3).显示精度:0.01V4).测量误差:<=1%五.实验原理:(1).ADC0809模数转换模块:ADC0809是将输入的模拟值转化为8位二进制值输出,也就是对一个模拟量进行量化采用逐次逼近的方法近似为数字量。
1、由于每次都从IN-0口输入电压值,所以其余七个入口都是空置的,所以ADC0809的三个地址输入口要接地。
2、从IN-0口输入的电压值范围0-5V,所以ADC0809采取5V的标准电压,以待量化进行数模转换。
3、ADC0809的工作是通过单片机C51中的程序控制的,当ADC0809的START=1,ALE=1时启动模数转换,此时EOC=0;转化结束后EOC=1,若OE=1,则允许单片机从ADC0809中读取数据,读取结束后,OE=0.4、同时A的10号引脚CLK要接单片机的30号引脚ALE,由于ADC0809的频率一般采用500KHZ左右,单片机30号引脚输出为1MHZ,所以要用74LS74进行二分频。
(2).单片机8051模块:该模块主要是通过程序来实现三个功能:1).控制ADC0809进行模数转换,单片机的P1口和ADC0809的8位输出口相连,来读取数据。
简易数字电压表目录摘要及关键词 (2)一、实现方案 (3)1.硬件选择方案 (4)2.程序设计 (12)二、系统的测试与结果 (17)三、调试过程及问题解决方法 (18)四、课题设计的收获及心得 (18)参考文献 (18)摘要:本课题实验主要采用AT89S51芯片和ADC0809芯片来完成一个简易的数字电压表,能够对输入的0~5 V的模拟直流电压进行测量,并通过一个4位一体的7段LED数码管进行显示,测量误差约为0.02 V。
该电压表的测量电路主要由三个模块组成:A/D转换模块、数据处理模块及显示控制模块。
A/D转换主要由芯片ADC0809来完成,它负责把采集到的模拟量转换为相应的数字量再传送到数据处理模块。
数据处理则由芯片AT89S51来完成,其负责把ADC0809传送来的数字量经一定的数据处理,产生相应的显示码送到显示模块进行显示;另外它还控制着ADC0809芯片的工作。
显示模块主要由7段数码管及相应的驱动芯片(74HC245)组成,显示测量到的电压值。
关键词:简易数字电压表、ADC0809、AT89S51。
实现方案:本实验采用AT89S51单片机芯片配合ADC0809模/数转换芯片构成一个简易的数字电压表,原理电路如图1-1所示。
该电路通过ADC0809芯片采样输入口IN0输入的0~5 V的模拟量电压,经过模/数转换后,产生相应的数字量经过其输出通道D0~D7传送给AT89S51芯片的P0口。
AT89S51负责把接收到的数字量经过数据处理,产生正确的7段数码管的显示段码,并通过其P1口经驱动芯片74HC245驱动,再传送给数码管。
同时它还通过其三位I/O口P3.0、P3.1、P3.2产生位选信号,控制数码管的亮灭。
另外,AT89S51还控制着ADC0809的工作。
其ALE管脚为ADC0809提供了1MHz工作的时钟脉冲;P2.3控制ADC0809的地址锁存端(ALE);P2.4控制ADC0809的启动端(START);P2.5控制ADC0809的输出允许端(OE);P3.7控制ADC0809的转换结束信号(EOC)。
数字电压表实验报告《数字电压表实验报告》实验目的:通过使用数字电压表,掌握其基本使用方法并了解电压测量的原理。
实验仪器:数字电压表、直流电源、导线等。
实验原理:数字电压表是一种用于测量电压的仪器,它通过将待测电压转换为数字信号并显示在屏幕上,从而实现对电压的测量。
其测量原理是利用电压测量电路将待测电压转换为相应的电流信号,再通过内部的模数转换器将电流信号转换为数字信号,最后通过显示装置显示出来。
实验步骤:1. 连接实验仪器:首先将数字电压表的正负极与待测电路的正负极相连,并确保连接正确无误。
2. 调整量程:根据待测电压的大小,选择合适的量程,并将数字电压表的旋钮调整到相应的量程档位。
3. 测量电压:打开待测电路的电源,观察数字电压表的显示数值,并记录下来。
4. 关闭电源:待测电压测量完成后,关闭待测电路的电源,并拔掉连接线。
实验结果:根据实验结果,我们可以得出待测电路的电压为多少,并且通过对比不同量程下的测量结果,了解数字电压表的量程选择原则。
实验结论:通过本次实验,我们掌握了数字电压表的基本使用方法,并了解了电压测量的原理。
同时,我们也了解了数字电压表的量程选择原则,并且通过实验结果验证了其准确性。
实验中的注意事项:在进行实验时,要注意连接线路的正确性,避免接错极性导致仪器损坏。
同时,在选择量程时要根据待测电压的大小进行合理选择,避免选择错误导致测量不准确。
总结:通过本次实验,我们对数字电压表的使用方法和原理有了更深入的了解,这对我们今后的实验操作和电路调试都有着重要的指导意义。
希望通过不断的实践和学习,我们能够更加熟练地掌握数字电压表的使用技巧,并且在工程实践中能够准确地测量电压并进行相应的调试。