计算机组成原理课程设计---校验码生成电路的设计

  • 格式:doc
  • 大小:274.00 KB
  • 文档页数:24

下载文档原格式

  / 24
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

计算机组成原理课程设计---校验码生成电路的设计

号:

课 程 设 计

学 号: 201140410119

教 学 院 计算机学院

课程名称 计算机组成原理课程设计

题 目 校验码生成电路的设计

专 业 计算机科学与技术

班 级 计科一班

姓 名 陈建辉

同组人员 周海涛 石义沣 明廷柱

指导教师 杨 斐

目录

一概述 (3)

1.课程设计的目的 (3)

2.课程设计的要求 (3)

二总体方案设计 (4)

1.设计原理 (4)

2.整体设计思路 (5)

3. EDA技术及QUARTUS II软件的简介 (5)

4. 主要特点 (8)

5. 具备的功能 (8)

6. 分工情况 (9)

7. 设计环境 (9)

三详细设计 (10)

1. 12位的寄存器设计 (10)

2. 奇偶校验电路的设计 (11)

3. CRC码生成电路的设计 (12)

4. 17位寄存器设计 (14)

5. 整体电路 (15)

四.程序的调试与运行结果说明 (16)

1. 建立工作库文件夹和编辑设计文件 (16)

2. 时序仿真 (17)

3. 设置开始时间和结束时间及时间段 (18)

4. 奇偶校验码波形图 (19)

5. CRC码校验仿真波形图 (19)

6. 整合电路校验码的波形图 (20)

五课程设计总结 (20)

参考文献 (22)

一概述

1.课程设计的目的

1. 理解和掌握该课程中的有关基本概念,程序设计思想和方法。

2.培养综合运用所学知识独立完成课题的能力。

3.培养勇于探索、严谨推理、实事求是、有错必改,用实践来检验理论,全方位考虑问题等科学技术人员应具有的素质。

4.掌握从资料文献、科学实验中获得知识的能力,提高学生从别人经验中找到解决问题的新途径的悟性,初步培养工程意识和创新能力。

2.课程设计的要求

1. 能够熟练掌握计算机中校验码的工作原理及其多种实现方案;

2. 掌握硬件描述语言VHDL及原理图设计方法;

3. 熟练掌握Quartus II软件平台;

4. 各小组按模块分工,每人独立完成自己负责的模块;

5. 合作完成最终的硬件下载及调试;

6. 独立撰写符合要求的课程设计报告。

二总体方案设计

1.设计原理

二进制信息位流沿一条线逐位在部件之间或计算机之间传送称为串行传送。

奇偶校验码是一种通过增加冗余位使得码字中"1"的个数恒为奇数或偶数的编码方法,它是一种检错码。在实际使用时又可分为垂直奇偶校验、水平奇偶校验和水平垂直奇偶校验等几种。它是由k位的信息码加上一位偶检验码(或奇校验码组成)。奇偶校验码常用于存储器读、写检查或ASCII码传送过程中的检查。在实际应用中,多采用奇校验,因为奇校验中不存在全“0”代码,在某些场合下更便于判别。

CRC码一般是指k位信息码之后拼接r位校验码。应用CRC码的关键是如何从k位信息位简便地得到r位效验位,以及如何从k+r位信息码判断是否出错。

奇偶校验码的生成:

奇偶校验码的实现方法是在每个被传送码的左边或右边加上1位奇偶校验位“0”或“1”,若采用奇校验位,只需把每个编码中1的个数凑成奇数;若采用偶校验位,只要把每个编码中1的个数凑成偶数。

CRC码生成:

多项式M(x)·x3除以生成多项式G(x)所得的r位余数为效验位(为了得到

R位余数,G(x)必须是r+1位)。然后将余数拼接在信息组左移r位空出的r 位上,就构成了这个有效信息的CRC码。(因为k=4,所以k-1=3位)

CRC码效验:

将收到的循环效验码用约定的生成多项式G(x)去除,如果码字无误则余数应为0,如果某一位出错,则余数不为0,不同位数出错余数不同。更换不同的待测码字可以证明:余数与出错位的对应关系是不变的,只与码制和生成多项式有关,对于其他码制或选用其他生成多项式,出错模式将发生变化。

如果循环码有一位出错,用G(x)作模2除将得到一个不为0的余数。可通过异或门将它纠正后在下一次移位时送回A16继续移满一个循环,就得到一个纠正后的码字。

2.整体设计思路

1.利用QUARTUS软件设计校验码生成电路,能实现奇偶校验码和循环冗余CRC码的设计。

2.用原理图设计法设计奇偶校验码生成电路。

3.用VHDL设计法设计循环冗余CRC码生成电路。

4.输入12位二进制数据存放在A寄存器中,加码后的数据以二进制显示在发光二级管上。

5.总电路的设计如下:

M1 M2

奇偶校验码生成电路CRC码生成电路

X

LDX

IN

图2-1 总电路示意图

3. EDA技术及QUARTUS II软件的简介

EDA是Electronic Design Automation(电子设计自动化)的缩写。

由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异,所以目前尚无一个确切的定义。但从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的

开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。可以实现逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化,逻辑布局布线、逻辑仿真。完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片。EDA技术是伴随着计算机、集成电路、电子系统的设计发展起来的,至今已有30多年的历程。大致可以分为三个发展阶段。20世纪70年代的CAD(计算机辅助设计)阶段:这一阶段的主要特征是利用计算机辅助进行电路原理图编辑,PCB布同布线,使得设计师从传统高度重复繁杂的绘图劳动中解脱出来。20世纪80年代的QtE(计算机辅助工程设计)阶段:这一阶段的主要特征是以逻辑摸拟、定时分析、故障仿真、自动布局布线为核心,重点解决电路设计的功能检测等问题,使设计而能在产品制作之前预知产品的功能与性能。20吐纪如年代是EDA(电子设计自动化)阶段:这一阶段的主要特征是以高级描述语言,系统级仿真和综合技术为特点,采用“自顶向下”的设计理念,将设计前期的许多高层次设计由EDA工具来完成。EDA是电子技术设计自动化,也就是能够帮助人们设计电子电路或系统的软件工具。该工具可以在电子产品的各个设计阶段发挥作用,使设计更复杂的电路和系统成为可能。在原理图设计阶段,可以使用EDA中的仿真工具论证设计的正确性;在芯片设计阶段,可以使用EDA中的芯片设计工具设计制作芯片的版图:在电路板设计阶段,可以使用EDA中电路板设计工具设计多层电路板。特别是支持硬件描述语言的EDA工具的出现,使复杂数字系统设计自动化成为可能,只要用硬件描述语言将数字系统的行为描述正确,就可以进行该数字系统的芯片设计与制造。有专家认为,21世纪将是四A技术的高速发展期,EDA技术将是对21世纪产生重大影响的十大技术之一。

EDA技术的基本特征:EDA代表了当今电子设计技术的最新发展方向.将EDA技术与传统电子设计方法进行比较可以看出,传统的数字系统设计只能在电路板上进行设计,是一种搭积木式的方式,使复杂电路的设计、调试十分困难;如果某一过程存在错误.查找和修改十分不便;对于集成电路设计而言,设计实现过程与具体生产工艺直接相关,因此可移植性差;只有在设计出样机或生产出芯片后才能进行实泅,因而开发产品的周期长。而电子EDA 技术则有很大不同,采用可编程器件,通过设计芯片来实现系统功能。采用硬件描述语言作为设计输入和库(LibraIy)的引入,由设计者定义器件的内部逻辑和管脚,将原来由电路板设计完成的大部分工作故在芯片的设计中进行。由于管脚定义的灵活性,大大减轻了电路图设计和电路板设计的工作量和难度,有效增强了设计的灵活性,提高了工作效率。并且可减少芯片的数量,