数字电子中的设计(电工电子课程设计)
- 格式:doc
- 大小:1.65 MB
- 文档页数:37
摘要频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。
通常情况下计算每秒内待测信号的脉冲个数,此时我们称基础时间为1秒。
基础时间也可以大于或小于一秒。
基础时间越长,得到的频率值就越准确,但基础时间越长则没测一次频率的间隔就越长。
基础时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。
本文数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。
关键词:数显、频率计、时基、protues仿真、555构成多谐振荡器简易数字频率计的设计数字频率计是直接用十进制数字来显示被测量信号频率的一种测量装置,它不仅可以测量正弦波、方波、三角波和尖端冲信号的频率,而且还可以测量它们的周期。
频率,就是周期性信号在单位时间 (1s) 内变化的次数.若在一定时间间隔 T 内测得这个周期性信号的重复变化次数为 N ,则其频率可表示为 f=N/T 。
原理框图中,被测信号 Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。
时基电路提供标准时间基准信号Ⅱ,其高电平持续时间t1=1s,当1s信号来到时,闸门开通,被测脉冲信号通过闸门,计数器开始计数,直到1s信号结束时闸门关闭,停止计数。
若在基础时间1S内计数器计得的脉冲个数为N,则被测信号频率fx=NHz。
逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生“0”脉冲Ⅴ,使计数器每次测量从零开始计数。
1.电路设计方案及其论证1-1 ICM7216D 构成数字频率计电路图由ICM7216D 构成的数字频率计由ICM7216D 构成的10MHZ 频率计电路采用+5V 单电源供电。
高精度晶体振荡器和321R C C 、、构成10MHz 并联振荡电路,产生时间基准频率信号,经内部分频后产生闸门信号。
输出分别连接到相应数码显示管上。
ICM7216D 要求输入信号的高电平大于,低电平小于,脉宽大于50ns ,所以实际应用中,需要根据具体情况增加一些辅助电路。
电工电子学教学设计引言:电工电子学是电气工程专业中的一门重要课程,涵盖了电工基础、电子电路和控制系统等内容。
它是电气工程学习的基础,也是电气工程师必备的核心知识之一。
本文将对电工电子学的教学设计进行探讨,结合学生的学习特点和教学目标,提出一种有效的教学设计方案。
一、教学目标1. 理解电工电子学的基本概念和基本原理;2. 掌握常见电子元器件的工作原理和使用方法;3. 能够进行电子电路的仿真和实验设计;4. 培养学生的分析和解决电子电路问题的能力;5. 培养学生的团队合作和创新意识。
二、教学内容电工电子学的教学内容包括电工基础、电子电路和控制系统三个部分。
1. 电工基础:电工基础是电工电子学的基础,主要包括直流电路和交流电路的基本概念和分析方法。
教师可以通过讲解理论知识和示例问题,引导学生理解电流、电压、电阻和功率等基本概念,掌握欧姆定律和基尔霍夫定律等基本分析方法。
2. 电子电路:电子电路是电工电子学的核心内容,主要包括放大电路、数字电路、滤波电路和稳压电路等。
教师可以通过理论讲解和实例分析,帮助学生掌握常见电子元器件的工作原理和使用方法,了解电子电路的设计和分析方法,培养学生的创新意识和问题解决能力。
3. 控制系统:控制系统是电工电子学的延伸内容,主要包括模拟控制系统和数字控制系统两部分。
教师可以通过讲解控制系统的基本概念和控制方法,引导学生了解控制系统的设计和应用,培养学生的团队合作和实践能力。
三、教学方法为了达到教学目标,我们可以选择以下教学方法:1. 理论讲解:通过课堂教学,教师可以向学生传授电工电子学的基本概念、原理和分析方法,帮助学生建立起系统的知识体系。
2. 示例分析:通过示例问题的讲解和分析,教师可以帮助学生理解电子电路的设计和分析方法,培养学生的问题解决能力和创新思维。
3. 实验设计:通过实验教学,教师可以引导学生进行电子电路的仿真和实验设计,让学生亲自动手,增强他们的实践能力和团队合作意识。
数字电路课程设计——四路抢答器专业:班级:姓名:学号:组员:指导教师:一、二、 1、 2、三、 1、数字电子技术课设—— 四路抢答器设计题目四路竞赛抢答器设计目标掌握四路竞赛抢答器电路的设计、组装与调试方法。
熟悉数字集成电路的设计和使用方法。
设计任务抢答器参赛者分为 4 组, 每组序号分别为 1、2、3、4,按键 SB0~SB3 分别对应 4 个组, 抢答者按动本组按键, 组号立即在 LED 显示器上 显示,同时封锁其他组的按键信号。
系统设置外部清除键,按动清除键, LED 显示器自动清零灭灯。
抢答器具有数据锁存和显示的功能。
抢答开始后, 若有选手按动抢 答按钮, 该选手编号立即锁存, 并在抢答显示器上显示该编号 (LED 显示), 同时扬声器给出音响提示, 封锁输入编码电路, 禁止其他 选手抢答。
抢答选手的编号一直保持到主持人将系统清零为止。
抢答器具有定时(30 秒)抢答的功能。
当主持人按下开始按钮后, 定时器开始计时,显示时间,若无人抢答,计时到 30 秒的时候, 扬声器发出声响, 声响持续 1 秒。
若参赛选手在 30 秒内有人抢答, 扬声器响,同时 LED 灯亮,并保持到主持人将系统清零为止。
可用 555 定时器和一定数值的电阻和电容产生频率为 1KHz 的脉冲, 作为触发器的 CLK 信号。
再经分频器输出秒脉冲作为定时器的 CLK 信号。
四 、 进度安排序号 内容 时间1 课题介绍 0.5 学时2 查找资料理论设计并仿真 2 学时3 安装、调试电路 2 学时4 技术指标测试 1 学时5 答辩 0.5 学时五 、 设计方案1 、 所需电路元器件:74LS74×2 555 定时器× 1 74LS160×54、5、2、 3、74LS20×174LS00×274LS04×12 、各芯片的引脚图及功能表74LS74 引脚图及其功能真值表555 定时器的引脚排列图74LS160 引脚图管脚图74LS160 的功能真值表74LS20 引脚图及其功能真值表74LS00 引脚图及其功能真值表74LS04 引脚图及其功能真值表六、各部分电路设计原理1 、判别电路:需要 74LS74 两个芯片, 74LS20,74LS00,74LS04 各一个,开关 5 个 K1,K2,K3,K4,K5, 1KHZ 的脉冲,指示灯等,按照总体设计电路图 (见七、总体电路分析设计四路及过程) 连接,首先使每个芯片都正常工作,在第一个 D 触发器中, 2 接 K1,12 接 K2, 5 和9 分别接指示灯, 6、8 接到四输入的非门上,第二个 D 触发器中,2 接 K3, 12 接 K4, 5 和 9 分别接指示灯, 6、8 也接到四输入的非门上,而两个 D 触发器中的 1 和 13 共四个口分别连在一起接开关K5,两个 D 触发器中 3 和 11 都连在一起,接出一根红线 L1,然后在将 74LS20 的输出端接在 74LS04 的输入端,其中的输出端接74LS00 输入一端,另一个输入端接 1KHZ 的脉冲,它的输出正好接在红线 L1 上,此时完成了抢答器。
数电课程设计--用555定时器接成的单稳态触发器数字电子技术课程设计报告题目:用555定时器设计的单稳态触发器学院电气工程学院专业班级电气3班电气工程学院专业课程设计评阅表题目名称用555定时器接成的单稳态触发器一、学生自我总结二、指导教师评定目录一、设计目的 (1)二、设计要求和设计指标 (1)三、设计内容 (1)3.1 变频电路工作原理 (2)3.1.1工作原理 (2)3.1.2 输出脉冲宽度 (3)3.1.3 555定时器 (3)3.2仿真结果与分析 (4)四、本设计改进建议 (6)五、总结(感想和心得等) (6)六、主要参考文献 (7)附录用555定时器设计的单稳态触发器元器件明细表 (7)一、设计目的1、进一步巩固和加深对数字电子技术基础知识的理解,提高综合运用所学知识的能力,培养学生独立分析问题、解决问题的能力。
2、通过上网查找资料、选方案、设计电路、仿真或调试、写报告等环节的训练,熟悉过程、步骤。
为今后从事电子线路的设计、研制电子产品打下良好的基础。
3、亲自动手设计数字电子电路,实现特定功能。
学习这一技能,积累这方面的经验。
4、以数字逻辑电路技术为基础,设计用555定时器接成的单稳态触发器。
二、设计要求和设计指标2.1 设计要求1、用555定时器设计一个单稳态触发器。
2、构成的单稳态触发器输出的脉冲宽度在1-10s的范围内可手动调节,当调节输出脉冲宽度时,可通过改变外接电阻或改变外接电容的大小实现,在此设计中将采用改变外接电阻的大小调节输出脉冲宽度。
3.按照以上技术要求设计电路,绘制电路图,对设计的电路用Multisim或OrCAD/PspiceAD9.2进行仿真。
2.2 设计指标1、电源选用一个12V的电源,一个信号发生器,一个示波器,外电路中,选用用于改变输出脉冲宽度的外接电阻为可变电阻,电容选用200nF容量的电容,555定时器电路中,电容选用10nF容量的电容,电阻选用100Ω的电阻,定时器选用555定时器。
《数字电子线路》课程标准课程名称:数字电子线路适用专业:电气技术应用专业一、课程性质本课程是机电类专业的一门实践性很强的课程,通过本课程的学习,使学生熟悉数字电路的基础理论知识,理解基本数字逻辑电路的工作原理,掌握数字逻辑电路的基本分析和机电类专业的一门实践性很强的课程,通过本课程的学习,使学生熟悉数字电路的基础理论知识,理解基本数字逻辑电路的工作原理,掌握数字逻辑电路的基本分析。
能考维修电工职业资格证书。
二、课程设计思路本课程的课程标准在制定过程中严格把握学生学习该课程的基本标准,所以在研制前期要充分对学生的基础、起点,应用型中职技校人才的培养要求和培养目标等进行调研、分析,经过校内外专家(包括本校任课教师、兄弟院校教学同行、企业相关人士等)进行探讨分析,确定应用性中职技校人才对本课程的掌握和学习的最低标准或基本标准,然后在本专业实施,对存在的问题或标准的高低等进行修订、改进。
三、课程培养目标(一)总体目标通过任务驱动教学,动手能力的提高,只有通过实践性教学活动才能实现,理论和实践相互结合进而提高其现场解决实际问题的能力,培养今后从事维修电工一线岗位的职业综合能力和职业综合素质,实现职业能力目标。
(二)具体目标1.知识目标(1)能正确并熟练使用常用电工工具、电工仪器仪表;(2)掌握常用电子元器件的认识与检测方法;(3)掌握焊接技能及其工艺要求;(4)掌握电子产品正确装配的基本技能及电子产品装配过程中分析和解决实际问题的一般方法;2.能力目标(1)培养学生初步了解研究电子技术问题的思想方法,具有一定解决实际问题的能力;(2)培养学生动手实践能力和创新能力;(3)培养学生自主获取知识的能力,独立分析问题和解决问题的能力。
3.素质目标(1)培养学生具备辩证思维的能力;(2)培养学生在学习过程中养成求真务实、认真细致的工作态度,爱岗敬业、吃苦耐劳的职业道德。
(3)能在模拟电子线路的学习中,学会交流和协调同学、师生间的关系,能与他人进行团结协作,共同解决问题。
student Parent society1283.3 实践教学层次化本课程设计了“现场参观——基础实验——情景项目——技能实训——企业现场实习——顶岗”六个层次的实践教学,并将实验室实行全天候开放,以培养学生的动手能力及创新能力。
3.4 实训内容职业化在实施实践教学过程中,注重培养学生的职业素养,将校内实习实训内容最大限度地转移到校外实习基地中进行,不能在校外实习基地进行的项目,也尽可能按企业生产的标准、工艺流程模拟进行,使学生在校内也能得到企业化的训练。
4 教法学法好的教学方法可以起到事半功倍的作用,合理地运用学习方法,能让学生轻松地掌握知识要点。
于是在教学过程中我们团队本着两个指导思想:一是注意思路清晰,使教材知识系统简洁化;二是使教学过程符合普遍认知规律,方便学生记忆。
因为,电是个看不见摸不着的抽象事物,为了变抽象为具体, 激发学生学习电工电子技术的兴趣,在教学过程中我们主要采用实验演示、多媒体动画演示和类比转换等直观教学法来授课.例如对于基尔霍夫电压定律,我们运用爬山来类比,爬山者从起点出发,爬了一圈后回到起点,爬的这一圈就相当于走了一个回路,上山相当于电压升,下山相当于电压降,由于爬山者最后回到了出发点,说明上山的高度与下山的高度必须相等,由此类比得出结论:电路回路中电压升等于电压降,这个结论就是基尔霍夫电压定律的内容。
这样的教学方法符合了人类由近及远、由浅入深的学习认知规律。
同时, 为了使教学贴近生产,贴近学生的岗位能力培养,本课程还主要采用了项目教学法,而对于这些项目中的子项目则采用的是任务驱动法辅以启发式教学法进行教学,任务驱动法不是单独学习理论知识,而是引导学生在完成任务的过程中去建构理论知识,进而应用于实践,它的运用,体现了本课程“实践——理论——再实践”的教学思路,实现了学生对知识“感知——理解——掌握——应用——拓展”的深化过程。
“授人以鱼,只供一饭;教人以渔,终身受用。
课程设计说明书课程名称:数字电子技术课程设计题目:路灯控制器的的设计与制作课程设计任务书一、设计题目路灯控制器的设计与制作二、主要内容及要求1.设计制作一个路灯自动照明的控制电路,当日照光亮到一定的程度时路灯自动熄灭,而日照光亮暗到一定程度时路灯自动点亮。
2.设计计时电路,用数码管显示路灯当前一次的连续开启时间。
3.设计计数显示电路,统计路灯的开启次数。
三、进度安排12月26日设计计时电路,用数码管显示路灯当前一次的连续开启时间,设计计数显示电路,统计路灯的开启次数;12月27日设计制作一个路灯自动照明的控制电路,当日照光亮到一定的程度时路灯自动熄灭,而日照光亮暗到一定程度时路灯自动点亮;12月28日整合电路,仿真测试。
12月29日整理思路,完成报告。
四、总评成绩指导教师学生签名路灯控制器的设计与制作一、设计任务与要求1.设计制作一个路灯自动照明的控制电路,当日照光亮到一定的程度时路灯自动熄灭,而日照光亮暗到一定程度时路灯自动点亮;2.设计计时电路,用数码管显示路灯当前一次的连续开启时间;3.设计计数显示电路,统计路灯的开启次数。
二、方案设计与论证总体分析:1.要用日照光的亮度来控制灯的开启和关断,首先必须检测出口照光的亮度。
可采用光敏二极管、光敏二极管或光敏电阻等光敏元件作传感器得到信号,再通过信号鉴幅,模拟高低电平,用以实现对路灯的启和停控制。
2.若将路灯开启的启动脉冲信号作计时起点,控制一个计数器对标准时基信号作计数,则可以算出路灯的开启时间,使计数器中总是保留着最后一次的开启时间。
方案一利用可调变阻器的阻值可调性来模拟光敏电阻在有光照和无光照情况下的电阻值变化。
有光照时,光敏电阻的阻值较小,输出低电平0;当光线变暗到一定程度时,光敏电阻阻值变大,输出高电平1,输出的脉冲分别传给路灯,计时器和计数器,此时路灯被点亮,计时器开始计时,计数器开始记录开启次数。
方案二利用单片机,编写程序来控制路灯的开启与关闭,同时利用输出脉冲控制计时与计数电路。
电工电子流水灯课程设计一、课程目标知识目标:1. 学生能理解并掌握流水灯电路的原理与设计,包括LED的工作原理、电路连接方式以及集成电路的应用。
2. 学生能描述常用电子元器件的参数、功能及在流水灯电路中的作用。
3. 学生能运用电工电子基础知识,解释并计算流水灯电路中的电流、电压、电阻等参数。
技能目标:1. 学生能独立完成流水灯电路的搭建,正确使用电工工具和仪器。
2. 学生能够通过编程或使用集成电路,实现对流水灯电路的控制,包括点亮、熄灭和闪烁等效果。
3. 学生能够运用问题解决策略,对流水灯电路进行故障排查和优化。
情感态度价值观目标:1. 培养学生对电工电子技术的兴趣和好奇心,激发他们探索科学技术的热情。
2. 培养学生的团队协作精神,通过小组合作完成项目,增强集体荣誉感和责任感。
3. 培养学生遵循安全规范,养成良好的工程实践习惯,提高安全意识和环保意识。
课程性质:本课程为实践性强的电工电子技术课程,结合理论知识与动手实践,旨在提高学生的实际操作能力和创新能力。
学生特点:考虑到学生年级特点,已有一定的电工电子基础知识,对实践操作充满好奇,动手能力强,喜欢探索新事物。
教学要求:教师需引导学生结合课本知识,通过实践操作掌握流水灯电路的设计与搭建,注重培养学生的实际应用能力和问题解决能力。
在教学过程中,关注学生的个体差异,提供有针对性的指导。
通过课程目标的分解和实施,确保学生能够达到预期的学习成果。
二、教学内容1. 理论知识:- 电路基础知识:电流、电压、电阻的概念及其关系。
- 电子元器件:LED的工作原理、参数选择;集成电路的介绍及应用。
- 数字电路基础:逻辑门电路、触发器等基本概念和应用。
2. 实践操作:- 流水灯电路设计:电路图绘制、元器件选型与连接方法。
- 流水灯控制:集成电路编程或逻辑控制方法,实现灯光的流动效果。
- 故障排查与优化:分析电路中可能出现的故障,进行排查和优化。
3. 教学大纲安排:- 第一阶段(1课时):回顾电路基础知识,介绍LED和集成电路。
目录一、课程设计整体的认知1、整体功能要求2、系统结构要求3、电器指标4、扩展指标5、设计条件二、电路的具体设计1、工作原理2、秒脉冲信号发生器3、分频器4、计数电路5、校时电路6、整点报时电路7、秒表电路8、电子钟电路9、闹钟电路三、电路的调测1、一一用仿真软件对各个模块的功能进行功能仿真计数电路校时电路整点报时电路秒表电路电子钟电路闹钟电路2、将各个模块集合衔接好3、集成好后再进行仿真(测试整体功能)四、小结一、整体功能的要求数字电子钟应该能以秒为最小的时间单位计时,同时应能用数字直观显示当前的时、分、秒。
二、系统结构要求数字电子钟的系统结构方框图如下图。
图中秒信号电路产生1HZ 标准计时信号,计时电路记录当前的时、分、秒值,数字显示以数字方式显示出当前的时间值,音响报时电路用于整点报时,时分调整电路用于校正当前的时间。
系统复位三、电气指标1、最小计时时间单位为1S。
2、秒和分计时范围为00~59,小时计时范围为0~23,并可手动将小时计时范围转换为1~12.3、可手动校正时、分。
四、扩展指标1、具有整点报时功能,要求每个整点前鸣叫4次低音(500HZ),59秒时鸣叫1次高音(1000hz)2、通过转换开关,可使电子钟具有秒表功能,最小计时时间单位为10ms,最长计时时间单位为59min。
五、设计条件1、电源条件:使用+5v电源2、在foundation仿真软件的条件下选择器件,也可结合vhdl语言开发自己的逻辑器件来完成电路。
3、在设计前要先学会foundation软件的使用六、电路的具体设计:1、工作原理:数字钟主要由一下几部分组成:振荡器和分频器组成的标准秒信号发生器;60进制分;秒计数器及12进制(或24进制)时计数器;时、分、秒的译码显示电路部分;校时电路、由这些基本的单元组成的框图如下图:数字钟原路框图数字钟的工作原理是:由多谐振荡器产生的稳定的高频脉冲经分裂后得到1hz的标准信号,作为秒计数器的技术脉冲;秒计数器计满60后,向分计数器发出进位信号;分计数器计满60后,向时技术器发出进位脉冲;小时按24进制或12翻1规律计数。
计数器的输出分别驱动译码电路,数码管显示时间。
当计数器出现误差或电源刚接通时,可拨动时、分校正开关进行校正。
3、分频器分频器的功能主要有两个:一是产生标准秒信号;二是提供给功能扩展电路所需的信号,如仿电台报时用的1000hz的高音频信号和500hz的低音频信号等。
用3级M=10的计数器对1000hz信号分频,得到1hz标准信号。
同时如图所示,由555输出的2000hz信号可直接作为高音频信号,从第一级取出2分频信号即得到1000hz的高音频,再取2分频得到500hz低音频,在1000hz的基础上取3个10分频得到1hz的标准秒脉冲信号。
1hz的分频电路1000hz和500hz的分频电路4,计数器电路电子钟的分和秒的计时采用60进制计数方式,其计数规律00......01......58 (59)00……,选用十进制或16进制计数器两级级联计数器即可构成M=16的计数器。
这里我选择7416074160是一个4位二进制的计数器,它具有异步清除端与同步清除端不同的是,它不受时钟脉冲控制,只要来有效电平,就立即清零,无需再等下一个计数脉冲的有效沿到来。
具体功能如下:1.异步清零功能只要(CR的非)有效电平到来,无论有无CP脉冲,输出为“0”。
在图形符号中,CR的非的信号为CT=0,若接成七进制计数器,这里要特别注意,控制清零端的信号不是N-1(6),而是N(7)状态。
其实,很容易解释,由于异步清零端信号一旦出现就立即生效,如刚出现0111,就立即送到(CR的非)端,使状态变为0000。
所以,清零信号是非常短暂的,仅是过度状态,不能成为计数的一个状态。
清零端是低电平有效。
2.同步置数功能当(LD的非)为有效电平时,计数功能被禁止,在CP脉冲上升沿作用下D0~D3的数据被置入计数器并呈现在Q0~Q3端。
若接成七进制计数器,控制置数端的信号是N(7)状态,如在D0~D3置入0000,则在Q0~Q3端呈现的数据就是0110。
74160集成块:具体功能表如下:用74160采用同步级联预置零法构成60进制计数器,同步级联的好处:同步计数器的寄存器时钟是相同的,这样所有的寄存器都在同一时刻发生变化.而异步计数器的寄存器时钟可能是不同的,这使得各个寄存器的值可能不在同一时刻发生变化,所发异步计数器的延迟比较大,工作速度比较低60进制计数器图60进制计数器需要做两个,因为分和秒都是用60进制计数器。
下图是60进制计数器在foundation软件的仿真结果:同样的方法再做一个24进制计数器,如下图:图中的非门是在电路的校时调试阶段加入的逻辑关系,与本模块并无太大的逻辑关系本模块的仿真结果如下图:时计数器具有两种计时方式:一是生活习惯中的计时规律,即“12翻1”特殊进制计数器;二是24进制计数器,其技术规律与M=60一样,只需要用复位控制方式将计数器级联即可实现。
12翻1的特殊进制计数器,当数字钟的计时器运行到12时59分59秒时,秒的个位计数器再接受一个秒脉冲时,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。
从12翻1小时时序表可以看出,时计数器的个位有0~9十个状态,十位只有0和1两种状态,因此时十位可用1个D触发器来实现两种状态。
时的个位虽然只有0~9十个状态,但其重复周期需要输入13个时钟脉冲,可以看出时计数器的状态要发生两次跳变;一是计数器计到M=10,即个位计数器的状态为1001后变为0,十位计数器的QE=1;二是计数计到M=12后,十位变为“0”,即QE=0个位状态由0010变到0001.设计12翻1电路时,首先应选用适当的计数器型号,然后再进一步根据时序逻辑设计。
这里我采用VHDL语言的形式来编写12与24进制的转换源程序如下:library ieee;use ieee. std_logic_1164.all;entity cntm12 isport(H1A:in std_logic;H1B:in std_logic;H1C:in std_logic;H1D:in std_logic;H2A:in std_logic;H2B:in std_logic;H2C:in std_logic;H2D:in std_logic;H1AOUT:out std_logic;H1BOUT:out std_logic;H1COUT:out std_logic;H1DOUT:out std_logic;H2AOUT:out std_logic;H2BOUT:out std_logic;H2COUT:out std_logic;H2DOUT:out std_logic;AMORPM:out std_logic);end cntm12;architecture beh of cntm12 issignal temp_in : std_logic_vector (7 downto 0);signal temp_out: std_logic_vector (8 downto 0); begintemp_in <=H2D&H2C&H2B&H2A&H1D&H1C&H1B&H1A; process(temp_in)begincase temp_in iswhen"00000000" => temp_out<="100010010"; when"00000001" => temp_out<="100000001"; when"00000010" => temp_out<="100000010"; when"00000011" => temp_out<="100000011"; when"00000100" => temp_out<="100000100"; when"00000101" => temp_out<="100000101"; when"00000110" => temp_out<="100000110"; when"00000111" => temp_out<="100000111"; when"00001000" => temp_out<="100001000"; when"00001001" => temp_out<="100001001"; when"00010000" => temp_out<="100010000"; when"00010001" => temp_out<="100010001"; when"00010010" => temp_out<="000010010"; when"00010011" => temp_out<="000000001"; when"00010100" => temp_out<="000000010"; when"00010101" => temp_out<="000000011"; when"00010110" => temp_out<="000000100"; when"00010111" => temp_out<="000000101"; when"00011000" => temp_out<="000000110"; when"00011001" =>temp_out<="000000111";when"00100000" => temp_out<="000001000";when"00100001" =>temp_out<="000001001";when"00100010" => temp_out<="000010000";when"00100011" => temp_out<="000010001";when others =>temp_out<="000000000";end case;AMORPM<=temp_out(8);H2DOUT<=temp_out(7);H2COUT<=temp_out(6);H2BOUT<=temp_out(5);H2AOUT <=temp_out(4);H1DOUT<=temp_out(3);H1COUT<=temp_out(2);H1BOUT<=temp_out(1);H1AOUT<=temp_out(0);end process;end beh;软件仿真结果:HOURSHIQA :24进制的时十位HOURGEQA :24进制的时个位H2AOUT :12进制的时十位H1AOUT :12进制的时个位由上图显示仿真的结果显示是正确的5、控制电路部分:数字钟在接通电源或计时时出现误差时,需要进行校正时间,这是数字钟应该具备的一种基本功能,有时为简单起见,只设时和分的校正。