当前位置:文档之家› 北京邮电大学 模拟电路实验

北京邮电大学 模拟电路实验

北京邮电大学 模拟电路实验
北京邮电大学 模拟电路实验

矿石收音机论坛?〓基础知识普及〓?面包板及其使用法

面包板及其使用法

面包板及其使用法

编者注:为了提高青少年的电子技术素养,促进学生全面发展,培养创业意识和创造技能,本刊(无线电)特约多年从事科普教育的特级教师,北京市有特殊贡献的专家孙心若撰写“电子控制技术入门”系列文章。他根据丰富的电子技术、发明创造教学体验,结合青少年的身心特点,进行有趣的“做中学”和“学中做”电路实验,引导青少年由表及里、由浅入深、循序渐进,获得“操作”体验,熏陶科学情感、发展技术能力,特别提供电子技术发展信息,增强创新意识并为他们展示创造能力营造条件。在内容选择上以电子控制技术内容为中心,以基本电路实验为基础,以数字集成电路为重点,并涉及实验所必需的基本理论及技能技巧,同时介绍青少年感兴趣的一些电子器件、小制作和小发明实例。配刊光盘中将用活动图像的形式讲解和演示这些电路实验的过程和现象,光盘中还加入了一些生活中的应用实例。

一、什么是"面包板"?

1.面包板的构造

面包板即"集成电路实验板",就是一种插件板,此"板"上具有若干小型"插座(孔)".在进行电路实验时,可以根据电路连接要求,在相应孔内插入电子元器件的引脚以及导线等,使其与孔内弹性接触簧片接触,由此连接成所需的实验电路。图1为SYB—118型面包板示意图:

为4行59列,每条金属簧片上有5个插孔,因此插入这5个孔内的导线就被金属簧片连接在一起。簧片之间在电气上彼此绝缘。插孔间及簧片间的距离均与双列直插式(DIP)集成电路管脚的标准间距2.54mm相同,因而适于插入各种数字集成电路。

2.面包板使用注意事项

插入面包板上孔内引脚或导线铜芯直径为0.4~0.6mm,即比大头针的直径略微细一点。元器件引脚或导线头要沿面包板的板面垂直方向插入方孔,应能感觉到有轻微、均匀的摩擦阻力,在面包板倒置时,元器件应能被簧片夹住而不脱落。面包板应该在通风、干燥处存放,特别要避免被电池漏出的电解液所腐蚀。要保持面包板清洁,焊接过的元器件不要插在面包板上。

3.面包板实验套材

电子控制电路基本实验所用的元器件包括:电池组2组(3V、6V,带电池卡、电极引线)。面包板(SYB-130或118、SYB—46型)。电阻器27只(47Ω、100Ω、390Ω×8、1kΩ×6、2.2kΩ×5、3.3kΩ、10kΩ、15kΩ、47kΩ、330kΩ、2.2MΩ),小型直滑电位器(47kΩ),电容器7只(1000pF、0.022μF、47μF、100μF×2,220μF×2)。光敏电阻器(MG45-1),光电二极管,开关二极管(1N4148),发光二极管4只(红、绿、黄、橙),三极管4只(8050、9013×2、9014),数码管(LC5011)。数字集成电路10块(74LS00、74LS02、74LS04、74LS08、74LS32、74LS73、74LS74、74LS86、4511、4518)。继电器(JRC-21F),双金属复片(启辉器),磁控开关1套(条形磁铁、干簧管开关),压电陶瓷片(φ27mm,带共鸣壳体),电子蜂鸣器(3V或6V),小电灯1个(3.8V),玩具直流电动机(3V,带小螺旋桨)。接钮开关2个,导线若干和元器件盘。此外,还需要准备常用的工具,如镊子、桃形钳和一字小改锥,自选实验所需添加的一些元器件等。

二、面包板实验入门

实验是通向科学成功的桥梁,正是由于实验造就了19世纪最伟大的实验物理学家、实验大师M·法拉第,为近代物理的发展奠定了基础。在了解面包板的构造之后,通过面包板电路搭接实验来了解其使用的方法。

1.省电指示灯电路

图2为省电指示灯电路:

它由电池组GB(6V)、按钮开关SB、限流电阻器R(390Ω)、红色发光二极管和导线组成。电池组用4节5号电池串联而成,开关选用电铃按钮开关,接线用1芯导线,电阻器上面的四条色环为橙色、白色、棕色及金色,标称阻值为390Ω,允许偏差±5 %。发光二极管采用直径3mm的红色发光二极管。限流电阻器R为390Ω时,发光二极管中电流约10mA,亮度已经很高了。如用高亮度发光二极管,限流电阻器可以适当加大(1k~3.9kΩ),工作电流仅为1~3mA,成为名副其实的省电指示灯电路。

看起来图2省电指示灯电路很简单,在面包板上搭接电路却是新的尝试,需要掌握在面包板上连接电路的方法,了解电阻器和发光二极管的使用方法,迈出面包板电路实验的第一步。建议初学者使用SYB—46型面包板,按图3示范连接方法进行实验。常见的错误是把电阻器、发光二极管的两条管脚插在同一列的5个方孔内造成短路,或者发光二极管正负极管脚接反。

在初步掌握省电指示灯电路面包板连接后,不妨在电路中再串联一只发光二极管,连成图4、图5所示的两种不同的串联方法。注意:这两个电路的区别!

图6为并联电路,可以把它视作两路省电指示灯电路,只是共用一只电阻器。

在面包板上连接并联电路时,一路省电指示灯电路搭接点亮之后,再连接第二路,连接示意图见图7。

其特点是各元器件连接紧凑,节省面包板使用面积,在插接元器件较多时具有实用的意义。如果每只发光二极管各串联一只电阻器,特别是发光颜色不同的发光二极管,两路指示灯就不会互相牵制了。如果把发光二极管串联的开关、电阻器互相换个位置,都能把相应的电路搭接出来,说明已经初步掌握了面包板电路搭接的方法。要重视在实验操作过程中培养技巧能力,而不仅仅是得到实验现象的结果。

北京邮电大学大学物理实验习题1

大学物理实验模拟试题一 一、填空题(总分42分,每空1分) 1. 测量结果的有效数字的位数由 和 共同决定。 2. 50分度的游标卡尺,其仪器误差为 。 3. 量程为10mA 电流表,其等级为,当读数为6. 5mA 时,它的最大误差为 。 4. 不确定度 表示 。 5. = 。 6. 在分光计实验中,望远镜的调节用的是 法。 7. S 是表示多次测量中每次测量值的 程度,它随测量次数n 的增加变化很 ,N S 表示 偏离真值的多少,它随测量次数n 的增加变化很 。 8. 在杨氏模量实验中,若望远镜的叉丝不清楚,应调节望远镜 的焦距,若观察到的标尺像不清楚则应调节望远镜 的焦距。钢丝的伸长量用 法来测定。 9. 计算标准偏差我们用 法,其计算公式为 。 10.表示测量数据离散程度的是 ,它属于 误差,用 误差(偏差)来描述它比较合适。 11.用20分度的游标卡尺测长度,刚好为15mm,应记为 mm 。 12.根据获得测量结果的不同方法,测量可分为 测量和 测量;根据测量的条件不同,可分为 测量和 测量。 13.电势差计实验中,热电偶的电动势与温差的关系为 关系,可用 法、 法和 法来求得经验方程。 14.×50÷= 。 15.÷= 。 16.2252= 。 17.用分光仪测得一角度为300,分光仪的最小分度为1,,测量的结果 为 。 18.对于连续读数的仪器,如米尺、螺旋测微计等,就以 作为仪器误差。 19.分光计测角度时由于度盘偏心引起的测量角度误差按正弦规律变化,这是 误差。 20.在示波器内部,同步、扫描系统的功能是获得 电压信号,这种电压信号加在 偏转板上,可使光点匀速地沿X 方向从左向右作周期性运动。 21.系统误差有 的特点,偶然误差有 的特点。 22.在测量结果的数字表示中,由若干位可靠数字加上 位可疑数字,便组成了有效数字。 23.在进行十进制单位换算时,有效数字的位数 。 24.静电场模拟实验应用了 法,它利用了静电场和 的相似性。 二、单项和多项选择题(总分30分,每题3分)

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。

3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时,没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。由此可确定按键位置。

物理实验习题3

模拟试题II 一、填空题: 1.物理学从本质上说是一门____科学,物理规律的发现和物理理论的建立, 都必须以严格的为基础。并受到____的检验。 2.测量的四要素是______、____、____和____。 3.误差按性质可分为____ 和____误差。 4.表示重复测量数据离散程度的是____度,它属于____误差,用____误差 (偏差)来描述它比较合适。 5.指出下列各数的有效数字的位数. () 0.05cm 是____,(2) mm 310321.4-?是____位,( 3)周长R π2=中的2是____,( 4)kg )012.0842.3(±中的kg 842.3是____位。 6.计算 =?+01.0647.9326.000.100____ ,其中00.100=____, 0.326+9.647=____,=+647.9326.000.100____。 7.分光仪的四大组成部分县____、____、____和____。 8.在牛顿环实验的调节过程中,若发现视场中半明半暗,应调节____,若 发现视场非常明亮。但却调节不出于涉环,其原因是____,若干涉环不够清晰应 调节____ 。 9.试举出米尺(类)外的三种测量长度的仪器(具) :l )____( 2)____ ( 3)____ 10.在落球法测液体的粘度系数中,要测小球的运动过度,这个速度应是 小球作____运动的速度;如果实验时。液体中有气泡,可能使这个速度____,从 而使η值的测量值____。 二、选择题: 1、选出下列说法的正确者(). A .可用仪器最小分度或是最小分度的一半作为该仪器的一次测量的误 差; B .可以用仪器精度等级估算该仪器一次测量的误差; C .只要知道仪器的最小分度值,就可以大致确定仪器误差的数量级; D .以上三种说法都正确. 2.测量一约为1.5伏特的电压时要求其结果的相对误差小于1.5%,则应 选用下列哪一种规格的伏特表()。 A . 0.5级,量程为 5伏; B . 1.0级, 量程为 2伏; C .2.5 级,量程为1.5伏, D .0.5级,量程为3伏。 3.在示波器实验中,用李萨如图形校正低频发生器的频率。如果Y 轴输入 一个50赫的信号,低频信号发生器的信号从X 轴输入,经调整后得到图形如∞, 那么,低频信号发生器这时的频率应当是()赫兹。 A .25; B .50; C .75: D .100。 4.在牛顿环实验中,观察到的干涉条纹图形是其原因是() A .凸透镜内有气泡; B .平板玻璃有凸起之处; C .平板玻璃内有气泡; D .平板玻璃有凹处。

北邮考研复试班-北京邮电大学物理学考研复试经验分享

北邮考研复试班-北京邮电大学物理学考研复试经验分享北京邮电大学是教育部直属、工业和信息化部共建、首批进行“211工程”建设的全国重点大学,是“985优势学科创新平台”项目重点建设高校,是一所以信息科技为特色、工学门类为主体、工管文理协调发展的多科性、研究型大学,是我国信息科技人才的重要培养基地。2017年,“信息网络科学与技术学科群”和“计算机科学与网络安全学科群”两个学科群进入一流学科建设行列。 学校坚持以习近平新时代中国特色社会主义思想为指导,坚持立德树人根本任务,扎根中国大地办好中国特色社会主义大学。学校始终肩负“传邮万里国脉所系”的家国情怀。自1955年建校以来,经过60多年的建设与发展,学校全日制教育已经形成了信息背景浓郁、专业特色鲜明、学科优势突出的办学格局。学校现设有电子与通信工程学院、电子工程学院、计算机学院、自动化学院、软件学院、数字媒体与设计艺术学院、现代邮政学院、网络空间安全学院、光电信息学院、理学院、经济管理学院、人文学院、马克思主义学院、国际学院、网络教育学院、继续教育学院、民族教育学院、体育部等18个教学单位,以及网络技术、信息光子学与光通信、感知技术与产业3个研究院,可信网络通信2011协同创新中心,并设有研究生院。目前,学科专业已经涵盖理学、工学、文学、法学、经济学、管理学、教育学、哲学、艺术学等9个学科门类,涉及22个一级学科。学校电子与通信工程、智能科学与技术以及电子科学与技术三个一级学科在教育部第四轮学科评估中被评为A类学科,其中电子与通信工程取得了A+的优异成绩。 专业介绍 物理学是研究物质运动最一般规律和物质基本结构的学科。作为自然科学的带头学科,物理学研究大至宇宙,小至基本粒子等一切物质最基本的运动形式和规律,因此成为其他各自然科学学科的研究基础。它的理论结构充分地运用数学作为自己的工作语言,以实验作为检验理论正确性的唯一标准,它是当今最精密的一门自然科学学科。 招生人数与考试科目

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

2016年北邮数电实验报告

数字电路与逻辑设计 实验报告 学院:电子工程学院 班级: 姓名: 学号: 班内序号:

目录 (一)实验名称及实验任务要求 (1) (二)模块端口说明及连接图 (2) 1.1实验三(3)模块端口说明 (2) 1.2实验三(3)连接图 (2) 2.1实验四模块端口说明 (2) 2.2实验四连接图 (2) (三)原理图或VHDL代码 (3) 1.实验一(2)原理图 (3) 2.实验三(3)VHDL代码 (4) 3.实验四VHDL代码 (7) (四)仿真波形 (10) 1.实验一(2)仿真波形 (10) 2.实验三(3)仿真波形 (11) 3.实验四仿真波形 (11) (五)仿真波形分析 (11) 1.实验一(2)仿真波形分析 (11) 2.实验三(3)仿真波形分析 (11) 3.实验四仿真波形分析 (11) (六)故障及问题分析 (12) (七)总结和结论 (13)

(一)实验名称及实验任务要求 实验一 名称:QuartusII原理图输入法设计与实现 实验任务要求:EDA基础实验1(1)、(2)、(3)必做,选做VHDL 实现加法器。 实验二 名称:用VHDL设计与实现组合逻辑电路 实验任务要求:四人表决器、8421码转格雷码、数码管译码器(下载测试)。 实验三 名称:用VHDL设计与实现时序逻辑电路 实验任务要求:分频器、8421十进制计数器、将分频器/8421十进制计数器/数码管译码器3个电路进行连接并下载。 实验四 名称:用VHDL设计与实现相关电路 实验任务要求:数码管动态扫描控制器、点阵扫描控制器。

(二)模块端口说明及连接图 1.1实验三(3)模块端口说明 cp:时钟信号输入; rst:8421十进制计数器异步置位; c[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 1.2实验三(3)连接图 2.1实验四模块端口说明 cp:时钟信号输入; rst:8421计数器异步复位; lgt[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 2.2实验四连接图

北邮数字电路与逻辑设计实验-实验报告(上)

北京邮电大学电路实验中心<数字电路与逻辑设计实验(上)> 实 验 报 告 班级: xxx 学院: xxx 实验室: xxx 审阅教师:姓名(班内序号): xxx)学号: 2xxx 实验时间: xxxx 评定成绩:

目录 实验1 Quartus II 原理图输入法设计与实现 (3) 一、实验目的 (3) 二、实验所用器材 (3) 三、实验任务要求 (3) 四、实验原理图 (3) 五、实验仿真波形图及分析 (4) 实验2 用VHDL 设计与实现组合逻辑电路 (5) 一、实验目的 (5) 二、实验所用器材 (5) 三、实验任务要求 (5) 四、VHDL代码 (5) 五、实验仿真波形图及分析 (7) 实验3 用VHDL 设计与实现时序逻辑电路 (8) 一、实验目的 (8) 二、实验所用器材 (8) 三、实验任务要求 (8) 四、模块端口说明及连接图 (8) 五、VHDL代码 (9) 六、实验仿真波形图及分析 (10) 实验4 用VHDL 设计与实现数码管动态扫描控制器 (10) 一、实验目的 (10) 二、实验所用器材 (11) 三、实验任务要求 (11) 四、模块端口说明及连接图 (11) 五、VHDL代码 (11) 六、实验仿真波形图及分析 (15) 故障及问题分析 (16) 总结和结论 (17)

实验1 Quartus II 原理图输入法设计与实现 一、实验目的 (1)熟悉用Quartus II原理图输入法进行电路设计和仿真; (2)掌握Quartus II 图形模块单元的生成与调用; (3)熟悉实验板的使用。 二、实验所用器材 (1)计算机; (2)直流稳压电源; (3)数字系统与逻辑设计实验开发板。 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数+CBA,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、实验原理图 (1)半加器原理图 (2)全加器原理图

北京邮电大学电路实验报告-(小彩灯)

北京邮电大学电路实验报告-(小彩灯)

电子电路综合实验报告课题名称:基于运算放大器的彩灯显示电路的设计与实现 姓名:班级:学号: 一、摘要: 运用运算放大器设计一个彩灯显示电路,通过迟滞电压比较器和反向积分器构成方波—三角波发生器,三角波送入比较器与一系列直流电平比较,比较器输出端会分别输出高电平和低电平,从而顺序点亮或熄灭接在比较器输出端的发光管。 关键字: 模拟电路,高低电平,运算放大器,振荡,比较 二、设计任务要求: 利用运算放大器LM324设计一个彩灯显示电路,让排成一排的5个红色发光二极管(R1~R5)重复地依次点亮再依次熄灭(全灭→R1→R1R2→R1R2R3→R1R2R3R4→R1R2R3R4R5→R1R2R3R4→R1R2R3→R1R2→R1→全灭),同时让排成一排的6个绿色发光二极管(G1~G6)单光

三角波振荡电路可以采用如图2-28所示电路,这是一种常见的由集成运算放大器构成的方波和三角波发生器电路,图2-28中运放A1接成迟滞电压比较器,A2接成反相输入式积分器,积分器的输入电压取自迟滞电压比较器的输出,迟滞电压比较器的输入信号来自积分器的输出。假设迟滞电压比较器输出U o1初始值为高电平,该高电平经过积分器在U o2端得到线性下降的输出信号,此线性下降的信号又反馈至迟滞电压比较器的输入端,当其下降至比较器的下门限电压U th-时,比较器的输出发生跳变,由高电平跳变为低电平,该低电平经过积分器在U o2端得到线性上升的输出信号,此线性上升的信号又反馈至迟

滞电压比较器的输入端,当其上升至比较器的上门限电压U th+时,比较器的输出发生跳变,由低电平跳变为高电平,此后,不断重复上述过程,从而在迟滞电压比较器的输出端U o1得到方波信号,在反向积分器的输出端U o2得到三角波信号。假设稳压管反向击穿时的稳定电压为U Z,正向导通电压为U D,由理论分析可知,该电路方波和三角波的输出幅度分别为: 式(5)中R P2为电位器R P动头2端对地电阻,R P1为电位器1端对地的电阻。 由上述各式可知,该电路输出方波的幅度由稳压管的稳压值和正向导通电压决定,三角波的输 出幅度决定于稳压管的稳压值和正向导通电压以及反馈比R1/R f,而振荡频率与稳压管的稳压值和正向导通电压无关,因此,通过调换具有不同稳压值和正向 导通电压的稳压管可以成比例地改变方波和三角波的幅度而不改变振荡频率。 电位器的滑动比R P2/R P1和积分器的积分时间常数R2C的改变只影响振荡频率而 不影响振荡幅度,而反馈比R1/R f的改变会使振荡频率和振荡幅度同时发生变化。因此,一般用改变积分时间常数的方法进行频段的转换,用调节电位器滑动头 的位置来进行频段内的频率调节。

北邮数电实验报告

北京邮电大学实验报告 实验名称:数字电路与逻辑设计实验报告 学院:信息与通信工程学院 班级: 姓名: 学号: 序号: 日期:

实验三:用VHDL语言设计与实现逻辑电路 一、实验内容 1. 用VHDL语言设计实现一个带异步复位的8421码十进制计数器,仿真验证其功能,并下载到实验版测试。要求用按键设定输入信号,发光二极管显示输出信号; 2.用VHDL语言设计实现一个分频系数为12,分频输出信号占空比为50%的分频器,仿真验证其功能; 3.将(1),(2)和数码管译码器3个电路进行连接,并下载到实验板显示计数结果。 二、模块端口说明及连接图 1.分频器 2. 计数器 clk: 时钟输入信号 clk: 时钟信号输入 clear: 复位信号输入 clear: 复位信号输入 clk_out: 时钟分频后的信号输出 q: 计数器的输出 3.数码管显示 b: 数码管的输入信号 seg: 译码显示输出 onoff: 数码管的输出控制

4.连接图 三、实验分析 1.设计思路 本实验将之前的分频器和计数器以及数码管显示模块组合起来,实现了单个数码管现显示0~9,每隔0.5s切换一次显示内容。 COMPONENT div_12实现了时钟分频,将50MHz的单片机晶振时钟进行分频,输出频率2HZ占空比50%的方波时钟,以此时钟作为内部时钟驱动计数器。 COMPONENT jishuqi是一个十进制计数器,NUM从“0000”到“1001”循环变化,模为10。计数器的输出传递给数码管译码显示电路。 COMPONENT seg7_1是数码管译码显示电路,将收到的信号NUM译码并控制数码管的段锁存来控制数码管的显示。 整体来看,div-12提供了分频后2Hz的时钟,驱动计数器计数,计数的结果作为数码管译码显示模块的输入,根据计数器实时的数进行数码管的显示。综合起来就实现了设计的功能。 在进行电路的连接时,可直接在代码中分成三个进程来实现,也可通过为每个模块建立符号,连接电路图来实现。 2. 具体代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpinjishu IS PORT( clear2 :IN STD_LOGIC; clk1:IN STD_LOGIC; b1:OUT STD_LOGIC_VECTOR(6 downto 0); CAT:OUT STD_LOGIC_VECTOR(7 downto 0) );

北邮数字电路综合实验报告——交通灯控制器的VHDL实现

数字电路综合实验报告 班级: 姓名: 班内序号: 学号: 日期:

目录 一、实验摘要 (3) 二、实验任务 (3) 1.任务要求 (3) 2.任务解析 (3) 三、实验设计思路 (4) 1.状态转移图 (4) 2.流程图 (5) 3.模块确定 (5) 4.系统框图 (7) 四、程序代码 (7) ⒈主程序 (7) ⒉分频模块 (9) ⒊防抖模块 (10) ⒋交通灯控制模块 (11) ⒌数字译码模块 (14) 五、实验结果 (15) 1.仿真结果 (15) 2.实物结果 (17) 六、所遇问题分析 (17) 七、实验总结 (18)

交通灯控制器的VHDL实现 一、实验摘要 随着交通情况的日益复杂,交通灯在生活中所处的位置也越来越高。本实验就是基于VHDL语言编程实现了十字路口的交通灯控制器。对于交通等控制器的设计是分模块自顶向下的设计思想,软硬件结合来实现本设计。 关键字:交通灯、VHDL、控制器 二、实验任务 1.任务要求 1)南北和东西方向各有一组绿、黄、红灯用于指挥交通,绿灯、黄灯和红灯的持续时间分别为20秒、5 秒和25秒; 2)当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制 器恢复原来状态,继续正常运行; 3)用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间; 2.任务解析 东西(A车道)和南北(B车道)方向各有一组绿、黄、红灯用于指挥交通(如图1),绿灯、黄灯和红灯的持续时间分别为20 秒、5 秒和25 秒。 图1 十字路口交通灯模型

因此,可以设计如下四个状态,其关系为: 状态 亮灯情况 车辆行驶状况 持续时 间(秒)下一状态A车道B车道 S0 红亮红亮紧急状况,A/B车道均禁止通行~ S1 S1 绿亮红亮A车道通行,B车道禁止通行20 S2 S2 黄亮红亮A车道缓行,B车道禁止通行 5 S3 S3 红亮绿亮A车道禁止通行,B车道通行20 S4 S4 红亮黄亮A车道禁止通行,B车道缓行 5 S1 三、实验设计思路 1.状态转移图 图2 状态转移图

北邮-电子电路综合设计实验(函数信号发生器)报告

电子电路综合设计实验报告 实验1 函数信号发生器的设计与实现 姓名:------ 学号:---------- 班内序号:--

一. 实验名称: 函数信号发生器的设计与调试 二.实验摘要: 采用运放组成的积分电路产生方波-三角波,可得到比较理想的方波和三角波。根据所需振荡频率的高低和对方波前后沿陡度的要求以及对所需方波、三角波的幅度可以确定合适的运放以及稳压管的型号、所需电阻的大小和电容的值。三角波-正弦波的转换是利用差分放大器来完成的,选取合适的滑动变阻器来调节三角波的幅度以及电路的对称性。同时利用隔直电容、滤波电容来改善输出正弦波的波形。 关键词: 方波三角波正弦波频率可调 三、设计任务要求 1.基本要求: (1)输出频率能在1-10KHz范围内连续可调,无明显失真; (2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%-70%; (3)三角波Uopp=8V; (4)正弦波Uopp错误!未找到引用源。1V. (5)设计该电路的电源电路(不要求实际搭建) 2.提高要求: (1)正弦波、三角波和方波输出波形的峰峰值Uopp均可在1V-10V内连续可调。 (2)三种输出波形的输出端口的输出阻抗小于100Ω。 (3)三种波形从同一端口输出,并能够显示当前输出信号的种类、大小和频率 (4)用CPLD设计DDS信号源 (5)其他函数信号发生器的设计方案 四、设计思路以及总体结构框图 本课题中函数发生器结构组成如下所示:由比较器和积分器组成方波—三角波产生电

路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。 图4-1 函数信号发生器的总体框图 五.分块电路和总体电路的设计 (1)方波——三角波产生电路 图5-1 方波-三角波产生电路

北京邮电大学大学物理实验习题1 (1)

大学物理实验模拟试题一 一、填空题(总分42分,每空1分) 1. 测量结果的有效数字的位数由 和 共同决定。 2. 50分度的游标卡尺,其仪器误差为 。 3. 量程为10mA 电流表,其等级为1.0,当读数为6. 5mA 时,它的最大误差为 。 4. 不确定度σ表示 。 5. lg35.4= 。 6. 在分光计实验中,望远镜的调节用的是 法。 7. S 是表示多次测量中每次测量值的 程度,它随测量次数n 的增加变化很 ,N S 表示 偏离真值的多少,它随测量次数n 的增加变化很 。 8. 在杨氏模量实验中,若望远镜的叉丝不清楚,应调节望远镜 的焦距,若观察到的标尺像不清楚则应调节望远镜 的焦距。钢丝的伸长量用 法来测定。 9. 计算标准偏差我们用 法,其计算公式为 。 10.表示测量数据离散程度的是 精密度 ,它属于 偶然 误差,用 误差(偏差)来描述它比较合适。 11.用20分度的游标卡尺测长度,刚好为15mm,应记为 mm 。 12.根据获得测量结果的不同方法,测量可分为 测量和 测量;根据测量的条件不同,可分为 测量和 测量。 13.电势差计实验中,热电偶的电动势与温差的关系为 关系,可用 法、 法和 法来求得经验方程。 14.789.30×50÷0.100= 。 15.10.1÷4.178= 。 16.2252= 。 17.用分光仪测得一角度为300,分光仪的最小分度为1,,测量的结果为 。 18.对于连续读数的仪器,如米尺、螺旋测微计等,就以 作为仪器误差。 19.分光计测角度时由于度盘偏心引起的测量角度误差按正弦规律变化,这是 误差。 20.在示波器内部,同步、扫描系统的功能是获得 电压信号,这种电压信号加在 偏转板上,可使光点匀速地沿X 方向从左向右作周期性运动。 21.系统误差有 确定性 的特点,偶然误差有 随机性 的特点。 22.在测量结果的数字表示中,由若干位可靠数字加上 位可疑数字,便组成了有效数字。 23.在进行十进制单位换算时,有效数字的位数 。 24.静电场模拟实验应用了 法,它利用了静电场和 的相似性。 二、单项和多项选择题(总分30分,每题3分) 1. 下列测量结果正确的表达式是: A .L=23.68+0.03m B .I=4.091+0.100mA C .T=12.563+0.01s D .Y=(1.67+0.15)×1011P a 2.在下面的李萨如图中,如果在X 轴方向信号的频率是100Hz ,那么在Y 轴方向信号的频率是:

北邮-数电实验报告

北邮-数电实验报告

数字电路实验报告 学院:信息与通信工程 专业:信息工程 班级:2013211125 学号:2013210681 姓名:袁普

②:仿真波形图以及分析 波形图: 波形分析:通过分析ab ci三个输入在8中不同组合下的输出,发现与全加器的真值表吻合,说明实现了全加器的逻辑功能。同时看见波形中出现了毛刺(冒险),这也与事实一致。 ③:故障及问题分析 第一次在做全加器的时候发现找不到已经生成的半加器模块,后来发现是因为在建立工程时这两个项目没有建在同一个文件夹里,在调用的时候就找不到。后来我将全加器工程建在同一个文件夹里解决了此问题。

实验二:用VHDL设计和实现组合逻辑电路 一:实验要求 ①:用VHDL设计一个8421码转换为格雷码的代码转换器,仿真验证其功能。 ②:用VHDL设计一个4位二进制奇校验器,要求在为奇数个1时输出为1,偶数个1时输出为0,仿真验证其功能。 ③:用VHDL设计一个数码管译码器,仿真验证其功能,下载到实验板测试,要求用拨码开关设定输入信号,数码管显示输出信号,并且只使一个数码管有显示,其余为熄灭状态。 二:故障及问题分析 在刚开始实现让一个数码管显示的时候,我本来准备再设置6个输入和输出,通过实验板上的拨码来输入信息分别控制不同的数码管的的开闭状态,但是后来发现这样效率很低而且实验板上的拨码开关数量根本不够。在老师的提醒下,我最终在VHDL里直接增加了一个向量输出”011111”来直接控制cat0~5六个管脚,从而达到了实验的要求。

实验三:用VHDL设计和实现时序逻辑电路 一:实验要求 ①:用VHDL语言设计实现一个8421十进制计数器,要求有高电平复位功能,仿真验证其功能。 ②:用VHDL语言设计实现一个分频系数为12,输出为占空比50%方波的分频器,有高电平复位功能,仿真验证其功能。 ③:将(1),(2)和数码管译码器三个电路进行连接,仿真验证其功能,并下载到实验板进行测试,要求第三个数码管显示数字。二:报告内容 ①实验三(3)模块端口说明及模块代码 模块一:div12为一个有高电平复位功能的分频系数为12的分屏器,其输出是一个占空比50%的方波。此模块输入连接一个时钟输入,即可在输出端得到一个周期更大的方波输出。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div12 is port( clear,clk:in std_logic; clk_out:out std_logic ); end div12; architecture struct of div12 is signal temp:integer range 0 to 5; signal clktmp:std_logic; begin process(clk,clear) begin if(clear='1') then

北邮数电实验分析报告-信息

北邮数电实验报告-信息

————————————————————————————————作者:————————————————————————————————日期:

数字电路与逻辑设计实验 姓名*** 学院信息与通信工程学院 专业信息工程 班级*** 学号**** 班内序号***

实验一 一、实验名称和实验任务要求 1.实验内容:QuartusII原理图输入法设计与实现。 2.实验目的: (1)熟悉用QuartusII原理图输入法进行电路设计和仿真。 (2)掌握QuartusII图形模块单元的生成与调用。 (3)熟悉实验板的使用。 3.实验任务要求: (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图像模块。 (2)用实验内容1中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板上测试。要求用拨码开关设定输入信 号,发光二极管显示输出信号。 (3)用3线—8线译码器(74LS138)和逻辑门实现函数 F=(/)(/)(/)+(/)(/)+(/)(/)+,仿真验证其功能,并下载到实 验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 二、原理图 半加器模块和逻辑门设计实现的全加器: 三、仿真波形图及分析 电路实现了全加器的功能。全加器是实现两个1位二进制数及低位来的进位相加求得和数及向高位进位的逻辑电路。由其原理可得逻辑表达式:sum=ain⊕bin⊕cin

cout = (ain⊕bin)cin + ain*bin。 列出真值表: 输入输出 ain bin cin cout sum 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 仿真波形对比真值表,可以看出波形图与理论值完全符合。 四、故障及问题分析 1、问题:按照逻辑表达式连接了全加器电路后,仿真波形很乱。 解决方法:思考后知道了应该把输入信号依次设成2的n次方,这样的仿真波形清楚容易分析。 2、问题:把代码下载到板子上的过程中,进行到37%的时候停了,等了2分钟 也没继续下载。 解决方法:再次重连USB尝试下载,手紧握着接线口,下载成功了,分析可能是接线口接触不好。 实验二 一、实验名称和实验任务要求 1.实验内容:用VHDL设计与实现组合逻辑电路。 2.实验目的: (1)熟悉用VHDL语言设计组合逻辑电路的方法。 (2)熟悉用QuartusII文本输入法进行电路设计。 3.实验任务要求: (1)用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个‘1’时,输出为‘1’,否则输出‘0’,仿真验证其功能,并下载到实验板测 试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 (2)用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号, 发光二极管显示输出信号。

北邮数电实验报告

北京邮电大学 实验报告实验名称:数电电路与逻辑设计实验 学院:信息与通信工程学院 班级: 姓名: 学号: 班内序号: 日期:

一. 实验一:Quartus II 原理图输入法设计 1. 实验名称和实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块 元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号入信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数 F=A B C +A B C +A B C + A B C 。 2. 实验原理图及波形图 (1)半加器 (2)全加器

(3)74LS38 3.仿真波形图分析 (1)半加器: 输入为a,b,输出S,CO(进位)。 当ab都为0时,半加和s=0,进位端co=0。 当ab都为1时,半加和s=0,进位端co=1。 当a=1,b=0 或a=0,b=1时,半加和s=1,进位端co=0。 (2)全加器:

输入a,b,输出S,CO(进位),ci(低进位)。 当a=0,b=0,ci=0,输出s=0,co=0。 当a=0,b=1或a=1,b=0又 ci=0,输出s=1,co=0。 当a=0,b=0,ci=1,输出s=1,co=0。 (3)74LS138 输入A,B,C,输出为3。 四个输出对应F中的四个最小项,Y0、Y2、Y4、Y7,以实现函数功能。 二.实验二:用 VHDL 设计与实现组合逻辑电路 1.实验名称和实验任务要求 (1)用VHDL语言设计实现一个共阴极7段数码管译码器,仿真验证其功能。要求用拨码开关设定输入信号,7段数码管显示输出信号。 (2) 用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能。要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3) 用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个’1’时,输出为’1’,否则输出’0’,仿真验证其功能。要求用拨码开关设定输入信号,发光二极管显示输出信号。 2.实验代码及波形图 (1)共阴极7段数码管译码器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY GUAN IS PORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0); B:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); C:OUT STD_LOGIC_VECTOR(5 DOWNTO 0)); END GUAN; ARCHITECTURE encoder_arch OF GUAN IS BEGIN PROCESS(A) BEGIN C<="011111"; CASE A IS WHEN"0000"=> B<="1111110";--0 WHEN"0001"=> B<="0110000";--1 WHEN"0010"=> B<="1101101";--2 WHEN"0011"=> B<="1111001";--3 WHEN"0100"=> B<="0110011";--4 WHEN"0101"=> B<="1011011";--5 WHEN"0110"=> B<="1011111";--6 WHEN"0111"=> B<="1110000";--7 WHEN"1000"=> B<="1111111";--8 WHEN"1001"=> B<="1111011";--9

北京邮电大学大学物理实验习题4

大学物理实验模拟试题四 一、填空题: 1、物理实验课教学的程序分为____、____和____三步进行。 2、根据获得测量结果的不同方法,测量可分为____测量和____测量;根据测量 条件的不同,测量可分为____测量和____测量。 3、____之比称为相对误差,实际计算中一般是用____之比。 4、在实验中,进行多次(等精度)测量时,若每次读数的重复性好,则 ____误差一定小,其测量结果的____高。 5、指出下列各数的有效数字的位数。(l )0.005m 是____位,(2)6109 .2是____位,(3)100.00mg 是____位(4)自然数10是____位。 6、计算: 1000lg 3021.73021.171 .0100____,其中3021.73021.17____,1.0100____,1000lg ____,式子的前一项3021.73021 .171.0100____。7、____与____之比称为组合聚焦比,相当于静电透镜组的____。 8、下列实验中,分别使用了一种物理实验测量方法。用电桥测量电阻使用了____ 法;用电位差计测电动势使用了____方法:静电场的分布使用了____,测杨 氏模量中用光杠杆测微小伸长量使用了 ____法。9、在电场模拟实验中,我们是用____场中的____分布,模拟____的____分布。 10、测焦距时要测量像(屏)的位置。为了克服眼睛分辨本领不足造成的测量象 的位置的误差,在测量时我采用了____法,在测量焦距前先要进行____调整;在多次测量焦距的方法中,要测出一个放大和一个缩小的像时透镜位置的方法是____法。 二、选择题: 1.用伏安法测约210欧姆的电阻,电压表内阻10千欧,电流表内阻力10欧, 选用最佳测量线路,量得I =40.0mA,V =8.50v ,则测量结果的方法误差是()。 A .-2欧 B .-5欧 C .-7欧 D .-10欧 2.用最大误差0.01mA ,最大刻度是10mA 的电流表测一电流,读数是 6.00mA ,算出读数的相对误差是0.2%,那么此表是()。 A .0.l 级表 B .0.5级表 C .0.2级表 D .1.0级表

北邮数电综合实验电子沙漏的设计与实现

北京邮电大学数电综合实验报告 实验名称:电子沙漏的设计与实现 学院:信息与通信工程学院 姓名: 班级: 学号: 班内序号:

一、实验设计的基本要求 沙漏是一种古老的计时工具,也是一种玩具。电子沙漏用发光二极管表示沙粒,模拟沙漏的运动过程。电子沙漏会像真正的沙漏一样,上部的沙粒(点亮的发光二极管)一粒一粒往下掉,下部的沙粒一粒一粒堆起来。 1、采用 8*8 双色点阵显示电子沙漏的开机界面,如图 2 所示。其中红色 LED 代表沙漏的上半部分沙粒 VD0~VD15,绿色 LED 代表沙漏的下半部分 VD0'~VD15'。 2、用拨码开关 SW1 模拟重力感应器。当 SW1 为低电平时,沙粒从VD0~VD15 向 VD0'~VD15'移动;当 SW1 为高电平时,沙粒从 VD0'~VD15'向 VD0~VD15 移动。 3、按键 BTN0 作为计时启动停止按键,启动后沙粒即可按照 SW1 设定的方向移动, 以 SW1 为低电平时为例,LED 移动的顺序与对应关

系如图 3 的1~16所示(若 SW1 为高电平,则点阵显示移动顺序为 16~1)。每颗沙粒的移动时间为 1 秒,当移动到图 3 的16时,若 SW1 仍为低电平,则保持沙粒不动,但计时继续,直到 SW1 的电平发生变化或者 BTN0 计时停止。

4、设计实现一个 60 秒计时器,当按键 BTN0 启动时开始工作,用于在沙粒移动过程中进行计时校准,并用数码管 DISP0~DISP1 显示计时结果。 提高要求: 1、可以调节控制电子沙漏的流动速度。? 2、用多种方式呈现电子沙漏界面。? 3、自行设定沙粒的移动路径,显示每颗沙粒的移动过程。 4、外接重力感应器,实现真实的电子沙漏功能。? 5、自拟其它功能。 二、系统设计 1、设计思路 实验比较复杂,故采用分模块设计的思想,将模块分为了分频模块、控制模块、数码管显示模块、8*8点阵显示模块。 由于本实验需要用BTN0按键来控制时间和沙漏的开始运行以及时间的暂停功能,故需要检测输入,此时就要用到防抖模块,防止在按下按键时有多个上升沿产生导致开关并不能完美的发挥作用。 控制模块是用来实现具体的操作的,通过对按下BTN0按键的次数统计,将其分为奇数与偶数两种情况,在奇数时使功能正常运行,在统计为偶数时使时间暂停,以此来实现对此系统的控制。

北邮AGC电路实验报告

自动增益控制(AGC)电路的设计 与实现 实验报告 姓名: 班内序号: 学号: 学院: 班级:

一.课题名称:自动增益控制电路的设计与实现 二.实验目的 1.了解AGC(自动增益控制)的自适应前置放大器的应用; 2.掌握AGC电路的一种实现方法; 3.提高独立设计电路和验证实验的能力。 三.实验摘要 自动增益控制电路的功能是在输入信号幅度变化较大时,能使输出信号幅度稳定不变或限制在一个很小范围内变化的特殊功能电路,简称为 AGC 电路。本实验采用短路双极晶体管直接进行小信号控制的方法,简单有效地实现AGC功能。 关键词: 自动增益控制,直流耦合互补级,电压跟随器,反馈 四.设计任务要求 1.基本要求: 设计一个AGC电路,要求设计指标以及给定条件为: ·输入信号:0.5~50mVrms; ·输出信号:0.5~1.5Vrms; ·信号带宽:100~5KHz。 2.提高要求: 设计一种采用其他方式的AGC电路。 五.设计思路和总体结构框图 设计思路 在处理输入的模拟信号时,经常会遇到通信信道或传感器衰减强度大幅变化的情况;另外,在其他应用中,如监控系统中的多个相同传感器返回的信号中,频谱结构和动态范围大体相似,而最大波幅却相差很多。此时,可以使用带自动增益控制的自适应前置放大器,使其增益应能随信号强弱而自动调整,以保持输出相对稳定。 AGC电路的实现有反馈控制、前馈控制和混合控制等三种,典型的反馈控制AGC由可变增益放大器(VGA)以及检波整流控制组成,本实验中电路采用了短路双极晶体管直接进行小信号控制的方法,从而简单而有效的实现AGC功能。 在下图1中,可变分压器由一个固定电阻R 1 和一个可变电阻构成,控制信号的交流振幅。可变电阻由采用基极—集电极短路方式的双极晶体管微分电阻实 现,为改变Q 1的电阻,可从一个有电压源V 2 和大阻值电阻R 2 组成的电流源直接 向短路晶体管注入电流。为防止R 2影响电路的交流电压传输特性,R 2 的阻值必须 远大于R 1 。

相关主题
文本预览
相关文档 最新文档