当前位置:文档之家› 信号完整性、电源完整性培训报告

信号完整性、电源完整性培训报告

信号完整性、电源完整性培训报告
信号完整性、电源完整性培训报告

SI、PI及高速电路设计培训报告

()

报告人:Raul

提交日期:20**-*-**

一、 前言

2**至**日,我很荣幸地代表###参加了由中国电子标准协会主办的《SI 、PI 及高速电路设计与案例分析培训》的培训班。培训的主要目的为帮助广大电子工程技术人员通过应用实例全面掌握信号完整性(SI )和电源完整性(PI )的分析方法与设计技巧,为企业培养优秀的SI 工程师,从而提高产品质量和可靠性。

主讲专家:**,现任某跨国公司高级研究员,曾任Intel 、IBM 高级硬件工程师,负责高性能服务器基础架构研究。一直从事于高速系统的信号完整性和电源完整性分析,曾获得公司多种奖项,拥有多项专利。主要研究方向为和实践经验包括:Many-Core 系统结构研究、软硬件协同仿真技术、基于IBM ,Intel ,AMD 等不同架构的系统设计、高速复杂系统设计及SI ,PI ,EMC 仿真分析技术。

通过这次培训,我深受启发,作为EMC 工程师,在产品EMC 设计和整改过程中,经常遇到一些问题,经常思考信号是怎样传播,怎样才能降低产品的EMI 等,通过这次培训,让我加深了对一些问题和现象的认识。对SI 和PI 问题有个系统的认识,具体内容从下面几个方面讲述。

二、 高速系统设计简介

1. 什么是高速系统设计

不同的工程师对于该问题有不同的认识:

1) 凡是频率大于50MHz 的信号,就是为高速信号,当板上频率大于50MHz 的信号占了1/3

以上,就必须进行信号完整性设计;

2) 信号是否高速和频率无直接关系,而是信号上升沿

3) 当信号所在的传输路径长度大于1/6倍传输信号的波长时,信号被认为是高速信号;

4) 当信号沿着传输线传播时,发生了严重的趋肤效应和电离损耗时,认为是高速信号。

我的个人理解为,高速系统设计必须从电路板上的信号上升或下降时间已经传输线的长度来考虑,当传输延时大于上升时间的1/2时开始,我们就应该考虑信号完整性问题,因为随着传输线长度的增加,传输延时也增加,反射回来的信号将影响驱动端的信号质量,此时由此类电路组成的系统就属于高速系统。

2. 高频信号还是高速信号

在高速系统中,对于高频信号是指的为Frequency ,是信号的频率,一般为模拟信

号。

而高速信号,指的是Time ,属于时域,考虑的是时序关系。

而且在高速系统中,所有的数字信号都是由模拟信号叠加而成,例如一个方波信号就可以通过下面的公式得出是由不同频率的正弦波组成。

∑∞=++=1

0)sin cos (21)(n n n t n b t n a a t f ωω )7sin 7

15sin 513sin 31(sin 4)( ++++=t t t t h t f ωωωωπ 通过这些理论学习,让我们能更加充分的理解,为什么SDCLK 信号上升时间越短,高次

谐波分量越大,对EMC的影响越大,因此我们在电路设计过程中在满足系统时序的前提下,应该尽量降低这些高频信号的上升时间。

3.SI工作流程

1)查找所以SI问题和根源;

2)针对这些问题,在可行范围内,减小影响;

3)在Prelayout阶段,用分析工具进行方案验证;

4)在PostLaout阶段,确认方案实施的正确性;

5)利用仿真工具和个人经验知识,在产品性能和成本之间寻找平衡点。

三、微波和传输线理论基础

1.传输线阻抗

传输线的定义为信号路径或数字信号传送端与接收端之间的导体连接线。传输线的要求是零衰减、带宽无限大、对所有频率线性相位响应,但事实并非如此。

组成信号传输回路的两个导体之间存在分布电感和分布电容,当信号沿该导体传输时,信号的跃变电压(V)和跃变电流(I)的比值称为特性阻抗(Z0),即Z0=V/I。

我们可以结合传输线的具体结构、尺寸、填充的媒质来计算具体传输线的分布参数。通常给出的是单位长度传输线的分布参数,即电容、电感、电阻、电导。有了分布参数,我们就可以将均匀传输线分割成许多微分段。这样,每个微分段可看作集中参数电路,其集中参数,其等效电路为一个π型网络,如下图所示。整个传输线的等效电路就是无穷多个这样的网络的级联。

因此传输线的阻抗也能由下面的公式获得。

因此各种传输线的阻抗可以由近似公式求得:

微带线的特性阻抗计算公式为:

带状线的计算公式为:

在高速系统中,所有的工作特性都取决于组成系统各部分的阻抗特性,也就是说,在高速系统中所有的现象都可以用阻抗特性来解释。由于传输线的阻抗不连续,发生阻抗突变,引起信号的反射,从而引起信号本身的畸变。因此分析传输线阻抗,是高速系统设计的基础。

2.传输线的损耗

传输线的损耗包括以下几个方面:导体的DC损耗;导体交流高频损耗、趋肤效应和邻近效应造成导体的损耗、介质损耗等。

传输线的趋肤效应是指直流电流流过导体时,截面积上的电流密度是均匀的,但高频交变电流流过导体时,电流密度越靠近表面越大,设集肤效应的表面深度为δ。

δ=

趋肤深度取决于信号的频率,金属的电导率和磁导率。

邻近效应是指两个邻近导体中的电流的相互吸引(或排斥)将导致电流密度的重新分布,使电流传输的有效截面积减小,增加了导线电阻而增加信号损耗,邻近效应与导体的几何形状、导体间距和频率有关。

辐射损耗是指频率提高后,前面两种电阻的增大可看成是热的辐射损耗,此外,还存在电磁辐射、交变电场和交变磁场,向外辐射能量而使信号损耗。同轴电缆中的幅射损耗小,是因为芯电流磁场与屏蔽层电流磁场相互抵销了,辐射损耗与外部介质的μ、εr 密切相关。

介质中流过高频漏电流,将使信号受到损耗,tr 将增大,tpd 增大;一般分析传输线时,都是建立在无损耗条件下的,当频率变到一定值时,有损耗传输问题应认真考虑。

四、SI、PI的基本概念

1.反射

反射就是在传输线上的回波。信号功率(电压和电流)的一部分传输到线上并达到负载处,但是有一部分被反射了。源端与负载端阻抗不匹配会引起线上反射,负载将一部分电压反射回源端。如果负载阻抗小于源阻抗,反射电压为负,反之,如果负载阻抗大于源阻抗,反射电压为正。布线的几何形状、不正确的线端接、经过连接器的传输及电源平面的不连续等因素的变化均会导致此类反射。

反射通俗点讲,在传输线上任何地方出现阻抗不连续,就会产生反射。

反射的产生如下列图所示:

在高速系统中反射的消除方法一般是控制传输线的阻抗连续性。一般方法有:

仔细设计系统的叠层结构,并按照一直的阻抗设计原则,来决定各个布线层传输线的物理和几何参数,以达到期望阻抗。

仔细设计信号的回流路径,保证回流路径的完整性,能够为传输线提供一致的参考平面;

按照阻抗匹配的设计原则,在传输路径的适当位置放置匹配电阻来控制反射;

仔细设计整个传输路径的拓扑结构,尽量减少分支数量和减小Stub线的长度。

2.串扰

1)互感和互容

串扰,即能量从一条线耦合到另一条线上,当不同传输线产生的电磁场发生相互作用时就会产生。在数字电路系统中,串扰现象相当普遍,串扰可以发生在芯片内核、芯片的封装、PCB板上、接插件上、以及连接线缆上。随着系统向更小型化及更高速度方向发展,串扰对系统设计的影响也显著加大了,设计工程师必须了解串扰产生的机理以及找到更好的方法使串扰产生的负面影响最小化。

在多传输线的PCB系统中,大量的线与线间的耦合,会产生两方面的有害影响。首先,串扰会改变总线中受串扰传输线的特性,即等价地改变了传输线的特性阻抗与传输速度,这样就对系统的时序及信号完整性带来了不利的影响。另外串扰会对其它的传输线造成噪声,这样更进一步地降低了信号质量以及降低了信号的噪声余量。串扰的这些因素使系统在很大程度上取决于传输线间的数据切换模式、线与线的间距以及驱动器的开关速度。

互感是产生串扰的两个机理之一。互感通过电磁场效应将电流从驱动线路感应到邻近的“受害”线路上。当然这要发生在受害的传输线与驱动线路足够近,这样驱动线路电流产生的磁场包围了“受害”传输线,从而在该传输线上产生了感应电流。通过磁场产生的感应电流在电路原理中是通过互感来表现的,互感L将在受害线路上叠加上一个电压噪声,其大小与驱动线路上驱动电流的变化成正比。由互感(L m)产生的噪声的计算公式为

dt dI L V driver m L noise m =,

因为感应噪声与电流的变化及互感成正比,所以互感在高速数字电路设计中相当的普遍而且重要。

互容是产生串扰的另一个机理。互容可以简单地定义为两个电极通过电场的耦合,电场的耦合在电路原理上是用互容来表示的。互容(C m )会对受害传输线产生一个感应电流,该电流正比于驱动线路上电压的变化速度

dt dV C I driver m C noise m =,

同样,这个感应噪声正比于电压的变化速率及互容的大小,因此互容在高速数字电路设计中也变得相当重要。

2) 电感和电容矩阵

在系统中,传输线间若有比较明显的耦合现象的话(即传输线间足够近),那么传输线就不能当成单传输线来处理,它的电气特性就不能仅仅用它的单位电感与单位电容来表示。在多传输线系统中要完整地来评价传输线的电气特性必须考虑线间的互感与互容,下面两个式子描述了多传输线系统(共N 条传输线)中耦合产生的的电气特性,一般都用电感与电容矩阵来表示多传输线的电气特性,这两个矩阵一般也称为传输线矩阵。

????????????=NN N N matrix L L L L L L L L 1

222111211 ????????????=NN N N matrix C C C C C C C C 12221

11211

式中,NN L 是传输线N 自身的电感,而MN L 是传输线M 与传输线N 间的互感。

NN C 表示传输线N 的总电容,它包括了传输线N 自身对地的电容以及与其它所有传输线的互容。MN C 表示传输线M 与传输线N 间的互容。如下图所示的的双传输线系统中,传输线1的总电容12111C C C g +=,同样对传输线2有12222C C C g +=。

3) 串扰问题最小化

由于串扰在高速及高密度的PCB 设计中非常普遍,而串扰对系统的影响都是负面的,因此在系统设计中我们应该在考虑不影响系统其它性能的情况下力求串扰的最小化。应该说,在高密度的PCB 设计中完全避免串扰是不可能的。以下几点帮助我们减少串扰:

? 布线条件允许的情况下,尽量拉大传输线间的距离;或者应该尽可能地减少相邻传输线

间的平行距离(累积的平行距离),最好在不同层间走线;

? 在获得相同目标特征阻抗的情况下,应该将布线层与参考平面(电源平面或地平面)间

的介质层尽可能的薄,这样就加大了传输线与参考平面间的耦合度,减少相邻传输线间的耦合;

? 对系统中关键传输线,可以改用差分线传输以减少其它传输线对它的串扰;也可以对关

键线的两边加地线保护以减少串扰;

? 相邻两层的信号层(中间没有平面层隔离)走线方向应该垂直以减少层间的串扰; ? 在保证信号时序的情况下,尽可能选择转换速度低的元器件,这样电场与磁场的变化速

度慢一点,从而降低串扰;

? 尽量少在表层走线,因为表层线的电场耦合比中间层的要强(表层线只有一个参考平

面)。

3. 去耦电容的选择

我们工程师都知道电容不是理想的,表现为:

a. 电容具有引脚电感,当频率高到一定的值后会使得电容的阻抗增加;

b. 电容具有串联电阻(ESR :effective series resistance ),这也会降低电容的性能;

c. 电容有温度特性,随着温度的改变,电容的介质属性会变化并引起容值的变化;

d. 电容的容值会由于介质老化而慢慢变化;

e. 电容过压会爆炸。

当选择去耦电容时,充分理解上述非理想性是很重要的,串联电感和ESR 的影响可以计算得到,关于温度特性、老化特性和电压范围只能由生产厂家提供详细资料。

用等效交流阻抗来评估一个去耦电容的优劣,等效交流阻抗用电阻、电感和电容阻抗的均方根值来近似: 22)212()(FC FL R F X ESR ac ππ-+≈ ohms

其中:R ESR :电容的串联电阻;X ac :电容的等效交流阻抗;L :电容管脚、封装、接插件电感的和。

下图所示的为一个电容的频响曲线。图中可以看出电容的带通特性(bandpass characteristics ),低频段,电容表现为电容,当频率增加,电感成分占了上风,阻抗随频率增加而增加。

电容的阻抗很大程度上依赖于数字信号的频谱成分。因此,应该正确选择这一频率,可是在数字系统中因为信号包含很多频率成分,所以这一频率不是可以直接得到的。有一些方法可以得到旁路电容必须通过的最大频率。一些工程师简单的选择最大频率为基频的五次谐波,例如,如果总线的频率为500MHz ,它的五次谐波为2500MHz 。如果电容的引脚电感或ESR 很高,那么可以另外选择电容或并联放置电容以降低等效电感和电阻。

4.S参数解析

S参数就是建立在入射波、反射波关系基础上的网络参数,适于高速电路分析,以互连结构端口的反射信号以及从该端口传向另一端口的信号来描述该电路网络。同N端口网络的阻抗和导纳矩阵那样,用散射矩阵也能对N端口网络进行描述。阻抗和导纳矩阵反映了端口的总电压和电流之间的关系,而散射矩阵是反映端口的入射电压波和反射电压波的关系。下图为两端口器件S参数的示意图:

二端口网络S 参数的定义可由向端口i 传播的波标记为a i (i=1,2),远离端口i 的波标记为b i (i=1,2)。把“反射波”b i 和“入射波”a i 相互关联来对S 参数进行定义:

j k ,0a a b S i j

i ij ≠== i, j, k=1,2 写成矩阵形式,有:

??

??????????=??????212221121121a a S S S S b b 在上式中,S 矩阵主对角线上的元素S 11和S 22分别为反射系数(相应的其它端口接匹配负载),这样可以计算出输入/输出端口上的电压驻波比,反射损耗等参数。而S 21和S 12为传输系数。S 参数矩阵的对角元素S 11、S 22为反射参数(相应的其它端口接匹配负载),也就是回波损耗,在高速互连系统中这个值越小越好。S 21,S 12表示从一个端口到另一端口的传输系数,在系统中这个值越大越好。对于高速互连这样的无源网络,S 参数有以下特性S 12=S 21。

从上图得到的S11参数,我们可以根据两个波峰之间的频率差,根据公式 就可以计算出在传输线上的延时,根据传输线的长度就可以计算出传输线的介电常数Er 。

从上面2图可以看出,从S参数中就能计算出传输线的Er和Z0。

五、总结

通过这次的培训,使我们对高速系统设计、信号完整性知识有了一次系统的复习和掌握,使我们对公司产品的信号完整性设计流程有了一个初步的掌握。通过这次培训,对各种信号完整性问题在实际项目中的体现有了一个大致了解,了解分析SI问题的工具和技巧,提高在PCB产品设计和布线方面的评审能力。

五款信号完整性仿真工具介绍

现在的高速电路设计已经达到GHz的水平,高速PCB设计要求从三维设计理论出发对过孔、封装和布线进行综合设计来解决信号完整性问题。高速PCB设计要求中国工程师必须具备电磁场的理论基础,必须懂得利用麦克斯韦尔方程来分析PCB设计过程中遇到的电磁场问题。目前,Ansoft公司的仿真工具能够从三维场求解的角度出发,对PCB设计的信号完整性问题进行动态仿真。 (一)Ansoft公司的仿真工具 现在的高速电路设计已经达到GHz的水平,高速PCB设计要求从三维设计理论出发对过孔、封装和布线进行综合设计来解决信号完整性问题。高速PCB设计要求中国工程师必须具备电磁场的理论基础,必须懂得利用麦克斯韦尔方程来分析PCB设计过程中遇到的电磁场问题。目前,Ansoft公司的仿真工具能够从三维场求解的角度出发,对PCB设计的信号完整性问题进行动态仿真。 Ansoft的信号完整性工具采用一个仿真可解决全部设计问题: SIwave是一种创新的工具,它尤其适于解决现在高速PCB和复杂IC封装中普遍存在的电源输送和信号完整性问题。 该工具采用基于混合、全波及有限元技术的新颖方法,它允许工程师们特性化同步开关噪声、电源散射和地散射、谐振、反射以及引线条和电源/地平面之间的耦合。该工具采用一个仿真方案解决整个设计问题,缩短了设计时间。 它可分析复杂的线路设计,该设计由多重、任意形状的电源和接地层,以及任何数量的过孔和信号引线条构成。仿真结果采用先进的3D图形方式显示,它还可产生等效电路模型,使商业用户能够长期采用全波技术,而不必一定使用专有仿真器。 (二)SPECCTRAQuest Cadence的工具采用Sun的电源层分析模块: Cadence Design Systems的SpecctraQuest PCB信号完整性套件中的电源完整性模块据称能让工程师在高速PCB设计中更好地控制电源层分析和共模EMI。 该产品是由一份与Sun Microsystems公司签署的开发协议而来的,Sun最初研制该项技术是为了解决母板上的电源问题。 有了这种新模块,用户就可根据系统要求来算出电源层的目标阻抗;然后基于板上的器件考虑去耦合要求,Shah表示,向导程序能帮助用户确定其设计所要求的去耦合电容的数目和类型;选择一组去耦合电容并放置在板上之后,用户就可运行一个仿真程序,通过分析结果来发现问题所在。 SPECCTRAQuest是CADENCE公司提供的高速系统板级设计工具,通过它可以控制与PCB layout相应的限制条件。在SPECCTRAQuest菜单下集成了一下工具: (1)SigXplorer可以进行走线拓扑结构的编辑。可在工具中定义和控制延时、特性阻抗、驱动和负载的类型和数量、拓扑结构以及终端负载的类型等等。可在PCB详细设计前使用此工具,对互连线的不同情况进行仿真,把仿真结果存为拓扑结构模板,在后期详细设计中应用这些模板进行设计。 (2)DF/Signoise工具是信号仿真分析工具,可提供复杂的信号延时和信号畸变分析、IBIS 模型库的设置开发功能。SigNoise是SPECCTRAQUEST SI Expert和SQ Signal Explorer Expert进行分析仿真的仿真引擎,利用SigNoise可以进行反射、串扰、SSN、EMI、源同步及系统级的仿真。 (3)DF/EMC工具——EMC分析控制工具。 (4)DF/Thermax——热分析控制工具。 SPECCTRAQuest中的理想高速PCB设计流程: 由上所示,通过模型的验证、预布局布线的space分析、通过floorplan制定拓朴规则、由规

电源完整性分析(于争博士)

电源完整性设计 作者:于博士 一、为什么要重视电源噪声 芯片内部有成千上万个晶体管,这些晶体管组成内部的门电路、组合逻辑、寄存器、计数器、延迟线、状态机、以及其他逻辑功能。随着芯片的集成度越来越高,内部晶体管数量越来越大。芯片的外部引脚数量有限,为每一个晶体管提供单独的供电引脚是不现实的。芯片的外部电源引脚提供给内部晶体管一个公共的供电节点,因此内部晶体管状态的转换必然引起电源噪声在芯片内部的传递。 对内部各个晶体管的操作通常由内核时钟或片内外设时钟同步,但是由于内部延时的差别,各个晶体管的状态转换不可能是严格同步的,当某些晶体管已经完成了状态转换,另一些晶体管可能仍处于转换过程中。芯片内部处于高电平的门电路会把电源噪声传递到其他门电路的输入部分。如果接受电源噪声的门电路此时处于电平转换的不定态区域,那么电源噪声可能会被放大,并在门电路的输出端产生矩形脉冲干扰,进而引起电路的逻辑错误。芯片外部电源引脚处的噪声通过内部门电路的传播,还可能会触发内部寄存器产生状态转换。 除了对芯片本身工作状态产生影响外,电源噪声还会对其他部分产生影响。比如电源噪声会影响晶振、PLL、DLL的抖动特性,AD转换电路的转换精度等。解释这些问题需要非常长的篇幅,本文不做进一步介绍,我会在后续文章中详细讲解。 由于最终产品工作温度的变化以及生产过程中产生的不一致性,如果是由于电源系统产生的问题,电路将非常难调试,因此最好在电路设计之初就遵循某种成熟的设计规则,使电源系统更加稳健。 二、电源系统噪声余量分析 绝大多数芯片都会给出一个正常工作的电压范围,这个值通常是±5%。例如:对于3.3V 电压,为满足芯片正常工作,供电电压在3.13V到3.47V之间,或3.3V±165mV。对于1.2V 电压,为满足芯片正常工作,供电电压在1.14V到1.26V之间,或1.2V±60mV。这些限制可以在芯片datasheet中的recommended operating conditions部分查到。这些限制要考虑两个部分,第一是稳压芯片的直流输出误差,第二是电源噪声的峰值幅度。老式的稳压芯片

ADS 的设计系统克服信号和电源完整性的10种方法

是德科技 ADS 克服信号和电源完整性挑战的 10 种方法 技术概述

Keysight EEsof EDA 的先进设计系统(ADS)软件是全球闻名的电子设计自动化软件,是射频、微波和高速数字应用的理想选择。为了提高效率,ADS 采用了一系列新技术,其中包括两个电磁(EM)软件解决方案,专门用于帮助信号和电源完整性工程师提高 PCB 设计中的高速链路性能。以下列出了 ADS 帮助工程师克服信号和电源完整性挑战的 10 种方法。 1. ADS 为您的 SI EM 表征提供出色的速度和准确性.....................................................第 2 页 2. ADS 简化部件 S 参数文件的使用................................................................................第 4 页 3. ADS 提供先进的通道仿真器技术................................................................................第 6 页 4. ADS 立身于技术(如 PAM-4)潮头 .............................................................................第 9 页 5. ADS 加速 DDR4 仿真方法 ...........................................................................................第 12 页 6. ADS 将电源交到设计人员(PI 分析)手中 ...................................................................第 15 页 7. ADS 可实现平坦的 PDN 阻抗响应 ..............................................................................第 18 页 8. ADS 提供电热仿真 .......................................................................................................第 21 页 9. ADS 有一个互连工具箱(Via Designer 和 CILD)..............................................................第 22 页 10. ADS 传递是德科技理念: 人力资源、硬件和软件资源的结合,开启测量新视野..................................................第23 页 1. ADS 为您的 SI EM 表征提供出色的速度和准确性 在精确表征高速通道的损耗和耦合时,通常会使用电磁(EM)技术。随着数据速率提升,我们面临的一个主要困境是选择使用哪种 EM 技术。全波通用 EM 仿真工具可在高频下提供所需的精度。用于 SI 分析的 3D-EM 技术的限制因素是 PCB 设计的规模和复杂性。高密度电路板需要花大量时间去手动简化版图,根据所需仿真的信号网络进行切板,并在实际的时间内(通常要很多小时)优化网格得到准确的仿真结果。设计人员一次只能验证电路板的一小部分。 相比之下,混合仿真器则要快得多,并且可以覆盖电路板上更大的网络范围。然而,仿真是否能够与测量很好地关联,这个问题始终存在。此外,使用简化方法还让您错过了哪些 EM 效应? ADS 推出了两种 EM 分析解决方案— SIPro 和 PIPro,它们专门为克服这些挑战而设计。SIPro 侧重于对大型、复杂的高速 PCB 上的高速链路进行 SI EM 分析,而 PIPro 则侧重于对配电网络(PDN)进行 PI EM 分析,包括直流 IR 压降分析、交流 PDN 阻抗分析和电源面共振分析。 尤其是,SIPro 采用了复合 EM 技术,提供比通用 EM 解决方案容量更高的纯 EM 分析。它可以捕获所有相关的 EM 效应,如过孔之间的耦合、过孔到微带转换以及信号到地/电源面耦合。SIPro 和 PIPro EM 仿真器套件都提供了网络驱动的用户界面,设计人员可以在这个界面上快速选择他们想要仿真的网络,以及电源面和接地面及元器件,并且无需花费时间或精力在仿真之前手动编辑或操作版图对象。端口也可以自动设置。利用这一流程,设计人员只需不超过 20 次点击,就能迅速完成从版图到获得仿真结果的过程(图 1)。

电源完整性设计详解

于博士信号完整性研究网 https://www.doczj.com/doc/fa4868945.html, 电源完整性设计详解 作者:于争 博士 2009年4月10日

目 录 1 为什么要重视电源噪声问题?....................................................................- 1 - 2 电源系统噪声余量分析................................................................................- 1 - 3 电源噪声是如何产生的?............................................................................- 2 - 4 电容退耦的两种解释....................................................................................- 3 - 4.1 从储能的角度来说明电容退耦原理。..............................................- 3 - 4.2 从阻抗的角度来理解退耦原理。......................................................- 4 - 5 实际电容的特性............................................................................................- 5 - 6 电容的安装谐振频率....................................................................................- 8 - 7 局部去耦设计方法......................................................................................- 10 - 8 电源系统的角度进行去耦设计..................................................................- 12 - 8.1 著名的Target Impedance(目标阻抗)..........................................- 12 - 8.2 需要多大的电容量............................................................................- 13 - 8.3 相同容值电容的并联........................................................................- 15 - 8.4 不同容值电容的并联与反谐振(Anti-Resonance)......................- 16 - 8.5 ESR对反谐振(Anti-Resonance)的影响......................................- 17 - 8.6 怎样合理选择电容组合....................................................................- 18 - 8.7 电容的去耦半径................................................................................- 20 - 8.8 电容的安装方法................................................................................- 21 - 9 结束语..........................................................................................................- 24 -

电源完整性基础理论

电源完整性理论基础 ------- 阿鸣随着PCB设计复杂度的逐步提高,对于信号完整性的分析除了反射,串扰以及EMI之外,稳定可靠的电源供应也成为设计者们重点研究的方向之一。尤其当开关器件数目不断增加,核心电压不断减小的时候,电源的波动往往会给系统带来致命的影响,于是人们提出了新的名词:电源完整性,简称PI(power integrity)。其实,PI和SI是紧密联系在一起的,只是以往的EDA仿真工具在进行信号完整性分析时,一般都是简单地假设电源绝对处于稳定状态,但随着系统设计对仿真精度的要求不断提高,这种假设显然是越来越不能被接受的,于是PI的研究分析也应运而生。从广义上说,PI是属于SI研究范畴之内的,而新一代的信号完整性仿真必须建立在可靠的电源完整性基础之上。虽然电源完整性主要是讨论电源供给的稳定性问题,但由于地在实际系统中总是和电源密不可分,通常把如何减少地平面的噪声也作为电源完整性中的一部分进行讨论。 一. 电源噪声的起因及危害 造成电源不稳定的根源主要在于两个方面:一是器件高速开关状态下,瞬态的交变电流过大;二是电流回路上存在的电感。从表现形式上来看又可以分为三类:同步开关噪声(SSN),有时被称为Δi噪声,地弹(Ground bounce)现象也可归于此类(图1-a);非理想电源阻抗影响(图1-b);谐振及边缘效应(图1-c)。

对于一个理想的电源来说,其阻抗为零,在平面任何一点的电位都是保持恒定的(等于系统供给电压),然而实际的情况并不如此,而是存在很大的噪声干扰,甚至有可能影响系统的正常工作,见图2: 开关噪声给信号传输带来的影响更为显著,由于地引线和平面存在寄生电感,在开关电流的作用下,会造成一定的电压波动,也就是说器件的参考地已经不再保持零电平,这样,在驱动端(见图3-a),本来要发送的低电平会出现相应的噪声波形,相位和地面噪声相同,而对于开关信号波形来说,会因为地噪声的影响导致信号的下降沿变缓;在接收端(见图3-b),信号的波形同样会受到地噪声的干扰,不过这时的干扰波形和地噪声相位相反;另外,在一些存储性器件里,还有可能因为本身电源和地噪声的影响造成数据意外翻转(图3-c)。 从前面的图3-c我们可以看到,电源平面其实可以看成是由很多电感和电容构成的网络,也可以看成是一个共振腔,在一定频率下,这些电容和电感会发生谐振现象,从而影响电源层的阻抗。比如一个8英寸×9英寸的PCB空板,板材是普通的FR4,电源和地之间的间距为4.5Mils,随着频率的增加,电源阻抗是不断变化的,尤其是在并联谐振效应显著的时候,电源阻抗也随之明显增加(见图4)。

DDR3信号完整性与电源完整性设计

DesignCon 2011 Signal and Power Integrity for a 1600 Mbps DDR3 PHY in Wirebond Package June Feng, Rambus Inc. [Email: jfeng@https://www.doczj.com/doc/fa4868945.html,] Ralf Schmitt, Rambus Inc. Hai Lan, Rambus Inc. Yi Lu, Rambus Inc.

Abstract A DDR3 interface for a data rate of 1600MHz using a wirebond package and a low-cost system environment typical for consumer electronics products was implemented. In this environment crosstalk and supply noise are serious challenges and have to be carefully optimized to meet the data rate target. We are presenting the signal and power integrity analysis used to optimize the interface design and guarantee reliable system operation at the performance target under high-volume manufacturing conditions. The resulting DDR3 PHY was implemented in a test chip and achieves reliable memory operations at 1600MHz and beyond. Authors Biography June Feng received her MS from University of California at Davis, and BS from Beijing University in China. From 1998 to 2000, she was with Amkor Technology, Chandler, AZ. She was responsible for BGA package substrate modeling and design and PCB characterization. In 2000, she joined Rambus Inc and is currently a senior member of technical staff. She is in charge of performing detailed analysis, modeling, design and characterization in a variety of areas including high-speed, low cost PCB layout and device packaging. Her interests include high-speed interconnects modeling, channel VT budget simulation, power delivery network modeling and high-frequency measurements. Ralf Schmitt received his Ph.D. in Electrical Engineering from the Technical University of Berlin, Germany. Since 2002, he is with Rambus Inc, Los Altos, California, where he is a Senior Manager leading the SI/PI group, responsible for designing, modeling, and implementing Rambus multi-gigahertz signaling technologies. His professional interests include signal integrity, power integrity, clock distribution, and high-speed signaling technologies. Hai Lan is a Senior Member of Technical Staff at Rambus Inc., where he has been working on on-chip power integrity and jitter analysis for multi-gigabit interfaces. He received his Ph.D. in Electrical Engineering from Stanford University, M.S. in Electrical and Computer Engineering from Oregon State University, and B.S. in Electronic Engineering from Tsinghua University in 2006, 2001, and 1999, respectively. His professional interests include design, modeling, and simulation for mixed-signal integrated circuits, substrate noise coupling, power and signal integrity, and high-speed interconnects. Yi Lu is a senior systems engineer at Rambus Inc. He received the B.S. degree in electrical engineer and computer science from U.C. Berkeley in 2002 with honors. In 2004, he received the M.S. degree in electrical engineering from UCLA, where he designed and fabricated a 3D MEMS microdisk optical switch. Since joining Rambus in 2006, he has been a systems engineer designing various memory interfaces including XDR1/2 and DDR2/3.

基于信号完整性与电源完整性的PCB电磁兼容协同仿真方法研究

基于信号完整性与电源完整性的PCB电磁兼容协同仿真方法 研究 摘要:基于数字样机的多学科协同设计,是应对传统的印制电路板(PCB:PrintedCircuitBoard)设计周期长、成本高和一次设计成功率低等不足的重要技术 手段,已被广泛地应用于电子产品的研发设计中。PCB协同设计主要是对其功能、性能和可靠性等方面进行评估和改进,涉及到多物理场、多学科的仿真软件工具集。针对日益复杂的电子设备电磁兼容设计,提出基于信号完整性与电源完整性 的PCB电磁兼容协同仿真方法。 关键词:信号完整性;电源完整性;PCB;电磁兼容;协同仿真;方法研究 1、前言 随着电子设备高速化、低功耗、小型化的飞速发展,PCB(PrintedCircuitBoard,印刷电路板)设计人员面临的信号完整性、电源完整性与电磁兼容性问题日益突出,已成为高可靠性PCB设计的瓶颈之一。信号完整性、电源完整性与电磁兼容 性问题不是独立的现象,核心都是电磁场问题,它们之间相互影响,1个方面的 改善可促进另2个方面的改善,割裂、单一地进行分析不能全面解决问题,只有 对三者进行整体的分析研究才能解决高性能、高可靠PCB设计所面临的难题,从 根本上提高PCB的电磁兼容性能。 2、基于信号完整性与电源完整性的PCB电磁兼容协同仿真方法 针对目前日益突出的SI,PI和EMC问题及它们之间紧密的联系,本文提出基 于信号完整性与电源完整性的PCB电磁兼容协同仿真方法,其核心是基于电磁场 和电路仿真结合的方法从SI,PI和EMC这3个方面对PCB进行整体的、全流程 的仿真,从3个方面来提高PCB设计的电磁兼容性,仿真方法与流程如图1所示。 图1 PCB 电磁兼容的协同仿真方法与流程 PCB的电源平面与地平面相当于一个谐振腔,具有谐振特性,利用电磁场仿 真分析方法分析PCB电源平面与地平面谐振,查看谐振频率点及谐振电压分布, 避免PCB的工作频率落到谐振频率附近,避免关键芯片的布局位置位于谐振电压 峰值处,从而减少噪声的耦合和辐射发射。 稳定干净的电源是PCB正常工作的基本保证,进行电源平面阻抗仿真分析, 查看所关注电源平面的阻抗是否低于目标阻抗值,若平面阻抗高于目标阻抗,添 加去耦电容或优化PCB叠层设计降低电源与地平面之间的阻抗,以减少电压波动 对芯片工作的影响。过大的直流电压压降会引起芯片工作异常,通过分析电源平 面电流及电压分布,减少不合理的电源平面分割所造成电流分布密度过大和电压 压降过大的问题。 信号完整性分析主要从信号的时序、电压等方面考察信号质量,确保信号能 正常到达接收端,同时减少噪声的产生和传播,利用电磁场仿真方法提取PCB上 关键信号网络的参数模型,结合芯片模型搭建仿真电路进行电路仿真,查看关键 信号网络的信号质量,通过调整布线等手段优化信号质量较差的电路网络。PCB 辐射仿真分析有助于掌握单板各部分的辐射情况,将关键芯片驱动端输出作为辐 射源放置到PCB上芯片实际管脚位置,进行辐射仿真,查看PCB单板辐射,对于 辐射较大处可以通过抑制手段来降低单板辐射。 基于信号完整性与电源完整性的PCB电磁兼容协同仿真方法通过电磁场仿真 与电路仿真相结合从SI,PI和EMC这3个方面进行全流程的协同仿真,全面解决

工程师必须懂得眼图分析方法解读

信号完整性分析基础系列之一 ——关于眼图测量(上) 汪进进美国力科公司深圳代表处 内容提要:本文将从作者习惯的无厘头漫话风格起篇,从四个方面介绍了眼图测量的相关知识:一、串行数据的背景知识; 二、眼图的基本概念; 三、眼图测量方法; 四、力科示波器在眼图测量方面的特点和优势。全分为上、下两篇。上篇包括一、二部分。下篇包括三、四部分。 您知道吗?眼图的历史可以追溯到大约47年前。在力科于2002年发明基于连续比特位的方法来测量眼图之前,1962年-2002的40年间,眼图的测量是基于采样示波器的传统方法。 您相信吗?在长期的培训和技术支持工作中,我们发现很少有工程师能完整地准确地理解眼图的测量原理。很多工程师们往往满足于各种标准权威机构提供的测量向导,Step by Step,满足于用“万能”的Sigtest软件测量出来的眼图给出的Pass or Fail结论。这种对于Sigtest的迷恋甚至使有些工程师忘记了眼图是可以作为一项重要的调试工具的。 在我2004年来力科面试前,我也从来没有听说过眼图。那天面试时,老板反复强调力科在眼图测量方面的优势,但我不知所云。之后我Google“眼图”,看到网络上有限的几篇文章,但仍不知所云。刚刚我再次Google“眼图”,仍然没有找到哪怕一篇文章讲透了眼图测量。 网络上搜到的关于眼图的文字,出现频率最多的如下,表达得似乎非常地专业,但却在拒绝我们的阅读兴趣。 “在实际数字互连系统中,完全消除码间串扰是十分困难的,而码间串扰对误码率的影响目前尚无 法找到数学上便于处理的统计规律,还不能进行准确计算。为了衡量基带传输系统的性能优劣,在实验室中,通常用示波器观察接收信号波形的方法来分析码间串扰和噪声对系统性能的影响,这就是眼图分析法。 如果将输入波形输入示波器的Y轴,并且当示波器的水平扫描周期和码元定时同步时,适 当调整相位,使波形的中心对准取样时刻,在示波器上显示的图形很象人的眼睛,因此被称为眼图(Eye Map)。 二进制信号传输时的眼图只有一只“眼睛”,当传输三元码时,会显示两只“眼睛”。眼图是 由各段码元波形叠加而成的,眼图中央的垂直线表示最佳抽样时刻,位于两峰值中间的水平线是判决门限电平。 在无码间串扰和噪声的理想情况下,波形无失真,每个码元将重叠在一起,最终在示波器 上看到的是迹线又细又清晰的“眼睛”,“眼”开启得最大。当有码间串扰时,波形失真,码元不完全重合,眼图的迹线就会不清晰,引起“眼”部分闭合。若再加上噪声的影响,则使眼图的线条变得模糊,“眼”开启得小了,因此,“眼”张开的大小表示了失真的程度,反映了码间串扰的强弱。由此可知,眼图

一个从业十年的信号完整性讲师给PCB工程师的学习忠告

一个从事PCB信号完整性培训十年的讲师给工程师的建议 作者:于争我本人以前就做过多年的信号完整性工程师,现在自己开公司做SI设计咨询,前几年也经常做一些培训(给一家培训公司做讲师),我就从一个讲师的角度来说说我的一点感触! 从多次的培训需求征集结果看,需求可以说五花八门,很多工程师或者公司提出的需求归纳起来相当于:一次课程把所有的SI问题搞定。 培训公司为了满足不同客户的需求当然就要把课程的内容作的全一点,覆盖面广一点。但信号完整性设计那么多内容,2天的时间不可能覆盖到,可以说众口难调。培训公司也是不得已而为之。我讲这样的课程也不舒服,总是刚刚接触到一点表面的东西,由于时间关系不得不放弃转入下一个话题,没办法,得赶时间,要不讲不完。有一句话叫不吐不快,但是在课堂上就是不能尽情的“吐”,有点憋得慌。 工程师对于信号完整性设计也有一个认知过程,很多人一提到信号完整性本能的想到仿真,其实这是一个相当大的认识误区。每次讲课我都会反复强调,不要把SI设计等同于SI 仿真。SI仿真只是SI设计的一个环节。该仿什么?怎么仿?怎么解读仿真结果,怎么判断行不行?怎么做决策?这些不是会操作软件就能搞定的,但这些却正是SI设计最核心的东西。有人可能会说,导入模型看看波形怎么样不就可以了,呵呵,不用多,真正做一个工程就能有感觉,没这么简单。单单一个该仿什么,可能就会难倒一大批人,更别说怎么利用仿真结果了。仿真软件不过是一个工具而已,能不能用好,还要看工程师的知识底蕴。当然,SI设计不可避免的涉及到测试,这也是一大块比较难的东西,我想做的比较深入的人一定深有体会。搞SI不能光用软件仿一仿就完事,要做的工作还是很多的。 工程师门提出那些要求其实可以理解,只不过在一次课程中涵盖这些内容不太可能。我以前也讲过很多次仿真软件的课程,Cadence仿真、Hyperlynx、SIWAVE、HFSS 等都讲过。讲完一个软件基本的操作就需要两三天时间,带着学员练习操作很耗时间,所以这种课上很难深入的讲SI知识。以前也出现过很多次这样的事,讲仿真软件的时候,有人问SI知识的东西,因为其中部分仿真他不知道为什么要做。讲SI知识的时候,也有很多人问仿真的事情。很无奈,也只能利用空余时间单独回答这些问题,因为课上没时间讲。 掌握一门技术需要点时间来沉淀,有过来人指导的话能少走很多弯路。参加一次培训不可能完全掌握SI设计,关键是能不能找到合适个人需求的课程,从这次培训上尽量多的吸

Cadence PCB设计及信号电源完整性解决方案

Cadence PCB设计及信号电源完整性解决方案 (2012/9/27)

序言 随着芯片封装板级系统的设计越来越复杂,信号速率越来越高,电源功耗越来越大,产品设计高密化趋势越来越明显,设计要求的越来越严格,我们需要更加专业的PCB设计及仿真工具;进一步完善信号完整性和电源完整性分析流程,通过相关工具与方法学的引入,进一步提高设计与创新能力。 随着业界领先的信号完整性和电源完整性仿真软件供应商Sigrity成为Cadence的一员,全新的Cadence芯片封装板级协同设计及仿真解决方案让你能够迅速优化芯片封装板级协同设计及仿真解决方案让你能够迅速优化芯片和封装之间的网络连接,以及封装与PCB之间的网络连接。通过网表管理、自动化优化路径以及信号和电源完整性分析,你可以对产品的成本与性能进行优化。将这种单一供应商的解决方案应用于芯片、封装与PCB,系统架构师可以尽早做出设计决策,然后将这些决策分配给单独的设计团队进行最终实施。 Cadence PCB设计及仿真解决方案的优点如下。 提供一个经实践证明的、可扩展的、低成本高成效的PCB设计解决方案,并可根据需要自由选择基础设计工具包加可选功能的组合形式。通过约束驱动式PCB设计流程避免不必要的重复。支持以下各种规则:物理、间距、制造、装配和测试的设计(DFX)、高密度互连(HDI)、及电气约束(高速)。具有通用和统一的约束管理系统,用于创建、管理和验证从前端到后端的约束。兼容第三方应用程序的开放式环境,提高效率的同时,提供访问用其他开发工具开发的程序的入口。

第一章原理图设计 Cadence提供了完整的、可调整的技术,应用于印制电路板(PCB)的设计创建、管理和重用。将原理图设计输入功能与广泛的仿真和电路板布局技术相结合,Cadence能够帮助工程师一开始就抓住设计意图。不管是用于设计新的模拟电路,还是为现有的PCB修改原理图图表,还是设计一个带有HDL模块的数字块图表,Cadence原理图输入技术让工程师可以输入、修改和检验PCB设计。它还加入了强大的元件信息系统(CIS),促进首选元件和已知有用数据的重用。 这种易于使用的技术让工程师能够将他们的创造力用于设计捕捉,而不是工具操作。分层式原理图页面编辑器具有Windows的用户界面,并拥有专门面向设计输入任务和发布设计数据的功能特性。集中化的项目管理实现了原理图数据的完美交换、电路仿真、电路板布局和信号完整性分析。可配置的设计规则检查(DRC)机制有助于消除代价高昂的工程变更单(ECO)。可以从原理图数据库中包含的数据里创建出一份基本的物料清单(BOM)。 以下产品内含Cadence原理图输入技术: Cadence Allegro Design Entry CIS。 Cadence OrCAD Capture CIS。 优点: 提供高速直观的原理图编辑方式。 通过设计重用加快原理图编辑效率。 让现场可编程门阵列(FPGA)和可编程逻辑设备(PLD)的合成自动进行。 通过单个电子数据表编辑器实现快速改动。 导入和导出所有常用的设计文件格式。 与强大的元件信息系统(CIS)结合,促进首选、现有元件的重用。 2.功能特色 1)原理图编辑 功能完整的原理图编辑器(见图3-1)让工程师可以在全面的工作界面中放置和连接各元件。它将各元件进行独特的封包,保证设计完整性,并为Cadence支持的任意格式创建设计网表。工程师可以在单次会话中浏览和编辑多个原理图设计,并且在原理图之间复制和粘贴设计数据,实现数据重用。该原理图编辑器还支持为PCB编辑流程加入设计编辑的关键约束。 2)项目管理器 项目管理器(见图3-1)让工程师可以在整个设计流程中收集和整理项目所需的所有资源。展开的树状结构使其易于整理和查找设计文件,包括由PSpice和Allegro AMS仿真器、OrCAD Capture CIS、Allegro Design Entry CIS和其他插件生成的文件。该项目管理器使得设计文件易于查找。它还有一个向导为工程师提供特定设计流程的指引,它还有一个层级浏览器,显示设计模块之间的层级关系。

《信号完整性与电源完整性的仿真分析与设计》

信号完整性与电源完整性的仿真分析与设计 1简介 信号完整性是指信号在通过一定距离的传输路径后在特定接收端口相对指定发送端口信号的还原程度。在讨论信号完整性设计性能时,如指定不同的收发参考端口,则对信号还原程度会用不同的指标来描述。通常指定的收发参考端口是发送芯片输出处及接收芯片输入处的波形可测点,此时对信号还原程度主要依靠上升/下降及保持时间等指标来进行描述。而如果指定的参考收发端口是在信道编码器输入端及解码器输出端时,对信号还原程度的描述将会依靠误码率来描述。 电源完整性是指系统供电电源在经过一定的传输网络后在指定器件端口相对该器件对工作电源要求的符合程度。同样,对于同一系统中同一个器件的正常工作条件而言,如果指定的端口不同,其工作电源要求也不同(在随后的例子中将会直观地看到这一点)。通常指定的器件参考端口是芯片电源及地连接引脚处的可测点,此时该芯片的产品手册应给出该端口处的相应指标,常用纹波大小或者电压最大偏离范围来表征。 图一是一个典型背板信号传输的系统示意图。本文中“系统”一词包含信号传输所需的所有相关硬件及软件,包括芯片、封装与PCB板的物理结构,电源及电源传输网络,所有相关电路实现以及信号通信所需的协议等。从设计目的而言,需要硬件提供可制作的支撑及电信号有源/无源互联结构;需要软件提供信号传递的传输协议以及数据内容。

图1 背板信号传输的系统示意图 在本文的以下内容中,将会看到由于这些支撑与互联结构对电信号的传输呈现出一定的频率选择性衰减,从而会使设计者产生对信号完整性及电源完整性的担忧。而不同传输协议及不同数据内容的表达方式对相同传输环境具备不同适应能力,使得设计者需要进一步根据实际的传输环境来选择或优化可行的传输协议及数据内容表达方式。 为描述方便起见以下用“完整性设计与分析”来指代“信号完整性与电源完整性设计与分析”。 2 版图完整性问题、分析与设计 上述背板系统中的硬件支撑及无源互联结构基本上都在一种层叠平板结构上实现。这种层叠平板结构可以由三类元素组成:正片结构、负片结构及通孔。正片结构是指该层上的走线大多为不同逻辑连接的信号线或离散的电源线,由于在制版光刻中所有的走线都会以相同图形的方式出现,所以被称为正片结构,有时也被称为信号层;负片结构则是指该层上基本上是相同逻辑连接的一个或少数几个连接(通常是电源连接或地连接),通常会以大面积敷铜的方式来实现,此时光刻工艺中用相反图形来表征更加容易,所以被称为负片结构,有时也称为平面层(细分为电源平面层和地平面层);而通孔用来进行不同层之间的物理连接。目前的制造工艺中,无论是芯片、封装以及PCB 板大多都是在类似结构上实现。 1001010… -0.50.00.51.01.5 -1.0 2.0V c o r e , V

中兴通讯硬件一部巨作-信号完整性

信号完整性基础知识 张士贤编写 中兴通讯上海第一研究所

前言 近年来,通讯技术、计算机技术的发展越来越快,高速数字电路在设计中的运用越来越多,数字接入设备的交换能力已从百兆、千兆发展到几十千兆。高速数字电路设计对信号完整性技术的需求越来越迫切。 在中、大规模电子系统的设计中,系统地综合运用信号完整性技术可以带来很多好处,如缩短研发周期、降低产品成本、降低研发成本、提高产品性能、提高产品可靠性。 数字电路在具有逻辑电路功能的同时,也具有丰富的模拟特性,电路设计工程师需要通过精确测定、或估算各种噪声的幅度及其时域变化,将电路抗干扰能力精确分配给各种噪声,经过精心设计和权衡,控制总噪声不超过电路的抗干扰能力,保证产品性能的可靠实现。 为了满足中兴上研一所的科研需要,我们在去年和今年关于信号完整性技术合作的基础上,克服时间紧、任务重的困难,编写了这份硬件设计培训系列教材的“信号完整性”部分。由于我们的经验和知识所限,这部分教材肯定有不完善之处,欢迎广大读者和专家批评指正。 本教材的对象是所内硬件设计工程师,针对我所的实际情况,选编了第一章——导论、第二章——数字电路工作原理、第三章——传输线理论、第四章——直流供电系统设计,相信会给大家带来益处。同时,也希望通过我们的不懈努力能消除大家在信号完整性方面的烦脑。 在编写本教材的过程中,得到了沙国海、张亚东、沈煜、何广敏、钟建兔、刘辉、曹俊等的指导和帮助,尤其在审稿时提出了很多建设性的意见,在此一并致谢! 张士贤 2000年10月31日 1 ZTE中兴

术语、符号和缩略语 术语 1.信号完整性(Signal Integrity) 信号完整性是指信号在信号线上的质量。信号具有良好的信号完整性是指当在需要的时候具有所必需达到的电压电平数值。 2.传输线(Transmission Line) 传输线是一个网络(导线),并且它的电流返回到地或电源。 3.特性阻抗(Characteristic Impedance) 组成信号传输回路的两个导体之间存在分布电感和分布电容,当信号沿该导体传输时,信号的跃变电压(V)和跃变电流(I)的比值称为特性阻抗(Z0),即Z0=V/I。4.反射(Reflection) 反射就是在传输线上的回波。信号功率(电压和电流)的一部分传输到线上并达到负载处,但是有一部分被反射了。如果源端与负载端具有相同的阻抗,反射就不会发生。5.串扰(Crosstalk) 串扰是两条信号线之间的耦合。信号线之间的互感和互容引起线上的噪声。容性耦合引发耦合电流,而感性耦合引发耦合电压。 6.过冲(Overshoot) 过冲就是第一个峰值或谷值超过设定电压。对于上升沿是指最高电压,而对于下降沿是指最低电压。过分的过冲能够引起保护二极管工作,导致过早地失效。 7.下冲(Undershoot) 下冲是指下一个谷值或峰值。过分的下冲能够引起假的时钟或数据错误(误操作)。8.电路延迟 指信号在器件内传输所需的时间(T pd)。例如,TTL的电路延迟在3 ~ 20nS 范围。9.边沿时间 器件输出状态从逻辑低电平跃变到高电平所需要的时间(信号波形的10~90%),通常表示为上升沿(T r)。器件输出状态从逻辑高电平下降到低电平所需要的时间(信号波形的90~10%),通常表示为下降沿(T f)。 10.占空比偏斜 信号传输过程中,从低电平到高电平的转换时间与从高电平到低电平的转换时间之间的差别,称为占空比偏斜。TTL和CMOS信号的占空比偏斜问题较为突出,主要是因为其输出的上升沿和下降沿延迟不同。 11.输出到输出偏斜 同一器件不同输出引脚之间的信号延迟差别,称为输出到输出偏斜。 12.器件到器件偏斜 由于制造工艺和使用环境的变化,造成的不同器件对应引脚之间的信号延迟差别,称为器件到器件偏斜。通常,器件之间的偏差远大于其他类型的偏斜。 13.动态偏斜 主要是指由于温度变化、地或电源噪声造成阀值电平随时间漂移,从而产生信号延迟的变化。 ZTE中兴 2

相关主题
文本预览
相关文档 最新文档