当前位置:文档之家› 模拟乘法器及其应用.pdf

模拟乘法器及其应用.pdf

模拟乘法器及其应用.pdf
模拟乘法器及其应用.pdf

模拟乘法器及其应用

摘要

模拟乘法器是一种普遍应用的非线性模拟集成电路。模拟乘法器能实现两个互不相关的模拟信号间的相乘功能。它不仅应用于模拟运算方面,而且广泛地应用于无线电广播、电视、通信、测量仪表、医疗仪器以及控制系统,进行模拟信号的变换及处理。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分立器件如二极管和三极管要简单的多,而且性能优越。

Analog multiplier is a kind of widely used nonlinear analog integrated circuits.Analog multiplier can be achieved between two unrelated analog multiplication function.It is not only applied in the simulation operation aspect, and widely used in radio, television, communications, measuring instruments, medical equipment and control system, the analog signal conversion and processing.In the high frequency electronic circuit, amplitude modulation, synchronous detection, mixing, frequency doubling, frequency, modulation and demodulation process, the same as can be seen as two signal multiplication or contain multiplication process.The function is realized by using integrated analog multiplier than using discrete components such as diodes and transistors are much more simple, and superior performance.

一、实验目的

1.了解模拟乘法器的工作原理

2.掌握利用乘法器实现AM调制、DSB调制、同步检波、倍频等几种频率变换电路的原理

3.学会综合地、系统地应用已学到模、数字电与高频电子线路技术的知识,通过MATLAB掌握对AM调制、DSB调制、同步检波、倍频电路的制作与仿真技术,提高独立设计高频单元电路和解决问题的能力。

二、原理说明

1.模拟乘法器的电路模型

模拟乘法器是对两个以上互不相关的模拟信号(电压与电流)实现相乘功能的非线性函数电路。通常它有两个输入端(x端和y端)及一个输出端,其电路模型与符号分别可用如图(a)或(b)所示。

图2.1模拟乘法器的模型与电路符号

模拟乘法器的传输方程为:

()()

o m x y

u A u t u t

=

式中:Am为增益系数

2.集成模拟乘法器的基本原理

模拟乘法器是一种能实现模拟量相乘的集成电路,设vO和vX、vY分别为输出和两路输入

其中K为比例因子,具有的量纲。模拟乘法器的电路符号如图所示。对于差动放大电路,电压放大倍数

如果用 vY去控制IE,即IE∝vY。实现这一基本构思的电路如图所示。

图2.2模拟乘法器原理图

3.变跨导型模拟乘法器

根据图的原理可以制成所谓变跨导模拟乘法器。在推导高频微变等效电路时,将放大电路的增益写成为

只不过在式中的gm是固定的。而图中如果gm是可变的,受一个输入信号的控制,那该电路就是变跨导模拟乘法器。由于vY∝IE,而IE∝gm,所以vY∝gm。输出电压为:

由于图中的电路,对非线性失真等因素没有考虑,相乘的效果不好。实际的变跨导模拟乘法器的主要电路环节如图所示。

图2.3 变跨导模拟乘法器

三、模拟乘法器的应用

1.

普通AM 振幅调制

普通AM 振幅调制电路的原理框图如图所示 设载波信号的表达式为 调制信号的表达式为 直流电压为uDC,

则乘法器输出的AM 调幅信号的表达式为 图3.1 AM 调制

m 为调制深度,AM 调制中,必须满足m<1,否则将会引起过调从而产生失真。

2.

抑制载波双边带振幅调制

1) 抑制载波双边带振幅调制电路的原理框图

如图2所示

图3.2 DSB 调制

()t U t U c cm c ωcos =()cos m U t U t ΩΩ=Ω()()()()t

mU t mU t U t

t m U t U c cm c cm c cm c cm Ω?+Ω++=Ω+=ωωωωcos 2

1

cos 21cos cos cos 10

设载波信号的表达式为

调制信号的表达式为

则乘法器输出的DSB 调幅信号的表达式为

2) 单边带调幅波的表达式为

或 将DSB 调制信号输出至理想的低通或高通滤波器即可得到SSB 调制。

3.

乘积型同步检波器

AM 调制信号的解调过程称为检波。常用方法有包络检波和同步检波两种。而抑制载波的双边带或单边带振幅调制信号的包络不能直接反映调制信号的变化规律,所以无法用包络检波进行解调,必须采用同步检波方法。

同步检波又分为叠加型同步检波和乘积型同步检波。利用模拟乘法器的相乘原理,实现同步检波是很方便的,其系统框图如下:

图3.3 同步检波

在乘法器的一个输入端输入抑制载波双边带信号信号:

()()()[]t

t mU t t mU t U c cm c c cm Ω=Ω?+Ω+=

cos cos cos cos 2

1

0ωωω

另一输入端输入同步信号(即载波信号)

()t

U t U c cm c ωcos =()cos m U t U t

ΩΩ=Ω()()()[]t

t mU t t mU t U c cm c

c cm Ω=Ω?+Ω+=cos cos cos cos 2

10ωωω()()t mU t U c cm Ω+=ωcos 2

10()()t mU t U c cm Ω?=ωcos 2

1

t

u t u c cm c ωcos )(=

经乘法器相乘,由此可得输出信号

t u u K

t u K t u u K t u t u K t u c cm sm E c sm E cm sm E c s E o )2(4

1

)2cos(2

1

cos 21)()()(Ω??

Ω++Ω=

=ωω

上式中,第一项是所需要的低频调制信号分量,后两项为高频分量,可用低通滤波器滤掉,从而实现双边带信号的解调。

同步检波具有很高的精度要求,即要求本地的解调载波与发送端的调制载波同频同相。如果其频率或相位有一定的偏差,将会使恢复出来的调制信号产生失真。

4. 模拟乘法器实现倍频

图3.5 倍频器

由模拟相乘器构成的倍频器电路原理框图如图所示: 当输入信号:u x =u y =u i

其输出与输入的关系是:u o =ku x u y =ku i 2

如果u x =u y =u i =U im sinwt 则有u o =k(U im sinwt)

2

=[kU im 2

(1-cos2wt)]/2

因此,只要在图4的输出端加一隔直电容,便可实现正弦波的二倍频。 其输出电压即为: u o =(kU im

2

cos2wt)/2

四、MATLAB仿真

1.AM调制

1)程序代码:

fs=1000; %抽样频率

N=1024; %FFT长度

n=0:N-1; t=n/fs; %截止时间和步长

u0=1; %载波幅度

f=(0:N-1)*fs/N; %频率转换

w = 2*pi*f; %w、f转换

ws=2*pi*10;

w0=2*pi*500;

m=1; %调制深度,取不同的值测试

%m=0.5;

%m=2;

Uz=u0.*(1+m.*cos(ws.*t)).*cos(w0.*t); %乘法器

u00=fft(U0,N); %傅立叶变换

uss=fft(Us,N);

uzz=fft(Uz,N);

mag0=abs(u00); %取模

mags=abs(uss);

mag=abs(uzz);

subplot(3,2,1),plot(t,Us);title('调制信号');

grid;axis([0 0.3 -1.5 1.5]);

subplot(3,2,3),plot(t,U0);title('载波信号');

grid;axis([0 0.3 -1.5 1.5]);

subplot(3,2,5),plot(t,Uz);title('已调波');

grid;axis([0 0.3 -3 3]);

subplot(3,2,2);plot(f,mags);title('调制信号频谱'); grid;axis([0 150 0 300]);

subplot(3,2,4);plot(f,mag0);title('高频载波频谱'); grid;axis([400 600 0 500]);

subplot(3,2,6);plot(f,mag);title('已调信号频谱'); grid;axis([400 600 0 500]);

2)仿真波形:

图4.1.1 m=0.5 AM调制

图4.1.2 m=1 AM调制

图4.1.3 m=2 AM调制2.DSB调制

1)程序代码:

fs=1000; %抽样频率

N=1024; %FFT长度

n=0:N-1; t=n/fs; %截止时间和步长

us=0.5; %调制信号幅度

u0=1; %载波幅度

k= 1; %增益系数

f=(0:N-1)*fs/N; %频率转换

w = 2*pi*f; %w、f转换

ws=2*pi*10;

w0=2*pi*500;

U0=u0.*cos(w0.*t); %载波信号

Us=us.*cos(ws.*t); %调制信号

Uz=k*U0.*Us; %乘法器

u00=fft(U0,N); %傅立叶变换

uss=fft(Us,N);

uzz=fft(Uz,N);

mag0=abs(u00); %取模

mags=abs(uss);

mag=abs(uzz);

subplot(3,2,1),plot(t,Us);title('调制信号');

grid;axis([0 0.3 -1.5 1.5]);

subplot(3,2,3),plot(t,U0);title('载波信号');

grid;axis([0 0.3 -1.5 1.5]);

subplot(3,2,5),plot(t,Uz);title('已调波');

grid;axis([0 0.3 -1.5 1.5]);

subplot(3,2,2);plot(f,mags);title('调制信号频谱'); grid;axis([0 150 0 300]);

subplot(3,2,4);plot(f,mag0);title('高频载波频谱'); grid;axis([400 600 0 500]);

subplot(3,2,6);plot(f,mag);title('已调信号频谱'); grid;axis([400 600 0 400]);

2)仿真波形:

图4.2.1 DSB调制

3.同步检波

1)程序代码:

fs=1000; %抽样频率

N=1024; %FFT长度

n=0:N-1; t=n/fs; %截止时间和步长

us=0.5; %调制信号幅度

u0=1; %载波幅度

uc=1; %本地解调载波幅度

k= 1; %增益系数

f=(0:N-1)*fs/N; %频率转换

w = 2*pi*f; %w、f转换

ws=2*pi*10;

w0=2*pi*500;

wc=w0;

U0=u0.*cos(w0.*t); %载波信号

Us=us.*cos(ws.*t); %调制信号

Uc=uc.*cos(wc.*t); %本地解调载波

Uz=k*U0.*Us; %乘法器

uz=Uz.*Uc; %解调

uzz=fft(Uz,N);

ui=fft(uz,N); %傅立叶变换

uss=fft(Uc,N);

mag0=abs(uss); %取模

mags=abs(uzz);

mag=abs(ui);

subplot(3,2,1),plot(t,Uz);title('已调波');

grid;axis([0 0.3 -1.5 1.5]);

subplot(3,2,3),plot(t,Uc);title('本地解调载波');

grid;axis([0 0.3 -1.5 1.5]);

subplot(3,2,5),plot(t,uz);title('解调信号');

grid;axis([0 0.3 -1.5 1.5]);

subplot(3,2,2);plot(f,mags);title('已调制信号频谱'); grid;axis([400 600 0 400]);

subplot(3,2,4);plot(f,mag0);title('本地解调载波频谱'); grid;axis([400 600 0 300]);

subplot(3,2,6);plot(f,mag);title('解调信号频谱'); grid;axis([0 50 0 500]);

2)仿真波形:

图4.3.1 同步检波

4.倍频器

1)程序代码:

fs=1000; %抽样频率

N=1024; %FFT长度

n=0:N-1; t=n/fs; %截止时间和步长

ui=1; %输入幅度

k= 1; %增益系数

f=(0:N-1)*fs/N; %频率转换

w = 2*pi*f; %w、f转换

wi=2*pi*100;

Ui=ui.*sin(wi.*t); %输入信号

Uz=k*Ui.*Ui; %乘法器

u0=fft(Ui,N); %傅立叶变换

uz=fft(Uz,N);

mag0=abs(u0); %取模

mag=abs(uz);

subplot(2,2,1),plot(t,Ui);title(‘输入信号’);

grid;axis([0 0.3 -1.5 1.5]);

subplot(2,2,3),plot(t,Uz);title(‘倍频信号’);

grid;axis([0 0.3 -1.5 1.5]);

subplot(2,2,2);plot(f,mag0);title(‘输入信号频谱’); grid;axis([0 300 0 300]);

subplot(2,2,4);plot(f,mag);title(‘倍频信号频谱’); grid;axis([0 300 0 400]);

2)仿真波形:

图4.4.1 倍频器

五、总结

本次作业,对模拟乘法器电路组成进行了理论分析,对调幅、检波以及倍频用MATLAB进行了仿真分析,取得了很大的收获。

模拟乘法器能够实现AM、DSB波的调制及同步检波与倍频。仿真结果与理论结果相一致。对于AM调制而言,应当注意调制幅度的选择,为了确保后级检波电路中避免出现对脚切割失真和底部切割失真,m选择在30%左右。对于DSB波而言,他的调幅信号输出抑制了载波,减小了输出功率提高了效率。对于倍频,用模拟乘法器来实现显得尤为容易。

总的来说,通过本次作业,加深了对模拟乘法器电路的了解,掌握了模拟乘法器的基本应用原理。

六、参考文献

1.阳翠娥主编《高频电子线路实验与课程设计》哈尔滨工业大学出版社2001年

2.王卫东傅佑麟编《高频电子线路》电子工业出版社 2004年编著MATLAB及其在理工课程中的应用指南(第二版)西安电子科技大学出版社2004年

模拟乘法器实验

3.12模拟乘法器 一.实验目的 1. 了解模拟乘法器的构成和工作原理。 2. 掌握模拟乘法器在运算电路中的运用。 二.实验原理 集成模拟乘法器是实现两个模拟信号相乘的器件,它广泛用于乘法、除法、乘方和开方等模拟运算,同时也广泛用于信息传输系统作为调幅、解调、混频、鉴相和自动增益控制电路,是一种通用性很强的非线性电子器件,目前已有多种形式、多品种的单片集成电路,同时它也是现代一些专用模拟集成系统中的重要单元。 1. 模拟乘法器的基本特性 模拟乘法器是一种完成两个模拟信号(连续变化的电压或电流)相乘作用的电子器件,通常具有两个输入端和一个输出端,电路符号如图3-12-1所示。 u x u y o 图3-12-1 模拟乘法器的电路符号 若输入信号为x u , y u ,则输出信号o u 为: o u =k y u x u 式中: k 为乘法器的增益系数或标尺因子,单位为V 1 . 根据两个输入电压的不同极性,乘法输出的极性有四种组合,用图3-12-2所示的工作象限来说明。 图 3-12-2 模拟乘法器的工作象限 若信号x u 、y u 均限定为某一极性的电压时才能正常工作,该乘法器称为单象限乘法器;若信号x u 、y u 中一个能适应正、负两种极性电压,而另一个只能适应单极性电压,则为二象限乘法器;若两个输入信号能适应四种极性组合,称为四象限乘法器。

2. 集成模拟乘法器 集成模拟乘法器的常见产品有BG314、F1595、F1596、MC1495、MC1496、LM1595、LM1596等。下面介绍BG314集成模拟乘法器。 (1) BG314内部结构如图3-12-3所示,外部电路如图3-12-4所示: 1 8 43 7 6 5142+ 9 121110 13 7 图3-12-3 BG314内部电路

模拟电子技术基础pdf

本书是参照1977年11月由高等学校基础工程课程的电气和无线电教材会议编写的“电子技术基础”(自动化)教科书的教学大纲,以及其他机构提出的修订建议而编写的。兄弟学院和大学。现在,它以两本书出版:模拟电子技术基础知识和数字电子技术基础知识。该课程的基础部分可用于高校自动化专业的“电子技术基础”课程两个学期。 在编译过程中,我们尝试着重于分析和解决问题的能力的培养。我们认为,自动化专业的毕业生应该具有先瞻,二算,三选四的能力。能够阅读就是能够理解专业中典型的电子设备的原理图,了解各个部分的组成和工作原理;能够进行计算的是对每个环节的工作性能进行定性或定量的分析和估计;能够选择并做的是能够在满足专业的一般任务时大致选择方案并选择相关的元件和设备,并且通过安装和调试,基本上就可以开发出来了。因此,为了能够阅读,本书加强了基本概念和各种典型基本单元电路的介绍,并专门设计了用于阅读图纸的章节;为了能够计算,本书加强了基本原理和基本分析方法。至于选择和做事的能力,应该主要在设计课程实验课和其他后续教学环节中进行培养,但为了满足这方面的要求,还有一些设计实例和一些章节。电子设备的实际问题。

在应对新技术日益增长与空间有限之间的矛盾时,我们在确保基本概念,基本原理和基本分析方法的前提下,采取措施使学生适应1980年代电子技术的发展需求。。因此,大大减少了由分立元件组成的一些单元的内容,例如调制放大器,功率放大器,门电路和触发电路,而与线性集成电路和数字集成电路有关的单元则相应地得到了增强。此外,还使用小写字母(更深入的部分),星号(其他内容)和投注(补充说明和参考资料)来满足不同的要求。在总结了模拟电子技术的基本章节之后,还附上了思路流程图,以帮助读者理解编译的意图和基本内容,并用粗线将其概述。) 童世白,金国芬,严世,吴百春,孙家新,张乃国等同志参加了基本模拟电子技术的编写。童世柏负责组织和完成草案。马中普,董洪芳,杨素兴,王汉伟,孙长龄,胡东成,尤素英等同志参加了讨论和整理。朱亚尔,蔡文华,朱占兴,杨,胡二山等同志参加了讨论和整理。李世新同志协助部分制图工作。 在收集和征集60多家电子院校的意见的过程中,我们已经获得了来自教师和大学的宝贵意见。在审查会议上,在西安交通大学沉尚贤教授的主持下,华中工学院,南京工学院,

模拟乘法器及其应用

模拟乘法器及其应用

摘要 模拟乘法器是一种普遍应用的非线性模拟集成电路。模拟乘法器能实现两个互不相关的模拟信号间的相乘功能。它不仅应用于模拟运算方面,而且广泛地应用于无线电广播、电视、通信、测量仪表、医疗仪器以及控制系统,进行模拟信号的变换及处理。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分立器件如二极管和三极管要简单的多,而且性能优越。 Analog multiplier is a kind of widely used nonlinear analog integrated multiplier can be achieved between two unrelated analog multiplication is not only applied in the simulation operation aspect, and widely used in radio, television, communications, measuring instruments, medical equipment and control system, the analog signal conversion and the high frequency electronic circuit, amplitude modulation, synchronous detection, mixing, frequency doubling, frequency, modulation and demodulation process, the same as can be seen as two signal multiplication or contain multiplication function is realized by using integrated analog multiplier than using discrete components such as diodes and transistors are much more simple, and superior performance.

模拟乘法器AD834的原理与应用

模拟乘法器AD834的原理与应用 1.AD834的主要特性 AD834是美国ADI公司推出的宽频带、四象限、高性能乘法器,其主要特性如下: ●带符号差分输入方式,输出按四象限乘法结果表示;输出端为集电极开路差分电流结构,可以保证宽频率响应特性;当两输入X=Y=±1V时,输出电流为±4mA; ●频率响应范围为DC~500MHz; ●乘方计算误差小于0.5%; ●工作稳定,受温度、电源电压波动的影响小; ●低失真,在输入为0dB时,失真小于0.05%; ●低功耗,在±5V供电条件下,功耗为280mW; ●对直通信号的衰减大于65dB; ●采用8脚DIP和SOIC封装形式。 2.AD834的工作原理 AD834的引脚排列如图1所示。它有三个差分信号端口:电压输入端口X=X1-X2和Y=Y1-Y2,电流输出端口W=W1-W2;W1、W2的静态电流均为8.5mA。在芯片内部,输入电压先转换为差分电流(V-I转换电阻约为280Ω),目的是降低噪声和漂移;然而,输入电压较低时将导致V-I转换线性度变差,为此芯片内含失真校正电路,以改善小信号V-I转换时的线性特性。电流放大器用于对乘法运算电路输出的电流进行放大,然后以差分电流形式输出。 AD834的传递函数为: W=4XY (X、Y的单位为伏特,W的单位为mA) 3.应用考虑 3.1 输入端连接

尽管AD834的输入电阻较高(20kΩ),但输入端仍有45μA的偏置电流。当输入采用单端方式时,假如信号源的内阻为50Ω,就会在输入端产生1.125mV的失调电压。为消除该失调电压,可在另一输入端到地之间接一个与信号源内阻等值的电阻,或加一个大小、极性可调的直流电压,以使差分输入端的静态电压相等;此外,在单端输入方式下,最好使用远离输出端的X2、Y1作为输入端,以减小输入直接耦合到输出的直通分量。 应当注意的是,当输入差分电压超过AD834的限幅电平(±1.3V)时,系统将会出现较大的失真。 3.2 输出端连接 采用差分输出,可有效地抑制输入直接耦合到输出的直通分量。差分输出端的耦合方式,可用RC耦合到下一级运算放大器,进而转换为单端输出,也可用初级带中心抽头的变压器将差分信号转换为单端输出。 3.3 电源的连接 AD834的电源电压允许范围为±4V~±9V,一般采用±5V。要求VW1和VW2的静态电压略高于引脚+VS上的电压,也就是+VS引脚上的电去耦电阻RS应大于W1和W2上的集电极负载电阻RW1、RW2。例如,RS为62Ω,RW1和RW2可选为49.9Ω,而+V=4.4V,VW1=VW2=4.6V,乘法器的满量程输出为±400mV。 引脚-VS到负电源之间应串接一个小电阻,以消除引脚电感以及去耦电容可能产生的寄生振荡;较大的电阻对抑制寄生振荡有利,但也会使VW1和VW2的静态工作电压降低;该电阻也可用高频电感来代替。 4.应用实例 AD834主要用于高频信号的运算与处理,如宽带调制、功率测量、真有效值测量、倍频等。在某航空通信设备扩频终端机(如图2所示)的研制中,笔者应用AD834设计了扩频信号调制器和扩频信号接收AGC电路。

模拟电子技术基础期末复习详细总结

模拟电子技术期末复习详细总结 第一章半导体二极管 一.半导体的基础知识 1.半导体---导电能力介于导体和绝缘体之间的物质(如硅Si、锗Ge)。 2.特性---光敏、热敏和掺杂特性。 3.本征半导体----纯净的具有单晶体结构的半导体。 4. 两种载流子----带有正、负电荷的可移动的空穴和电子统称为载流子。 5.杂质半导体----在本征半导体中掺入微量杂质形成的半导体。体现的是半导体的掺杂特性。 *P型半导体:在本征半导体中掺入微量的三价元素(多子是空穴,少子是电子)。 *N型半导体: 在本征半导体中掺入微量的五价元素(多子是电子,少子是空穴)。 6. 杂质半导体的特性 *载流子的浓度---多子浓度决定于杂质浓度,少子浓度与温度有关。 *体电阻---通常把杂质半导体自身的电阻称为体电阻。 *转型---通过改变掺杂浓度,一种杂质半导体可以改型为另外一种杂质半导体。 7. PN结 * PN结的接触电位差---硅材料约为0.6~0.8V,锗材料约为0.2~0.3V。 * PN结的单向导电性---正偏导通,反偏截止。 8. PN结的伏安特性 二. 半导体二极管 *单向导电性------正向导通,反向截止。 *二极管伏安特性----同PN结。 *正向导通压降------硅管0.6~0.7V,锗管0.2~0.3V。 *死区电压------硅管0.5V,锗管0.1V。 3.分析方法------将二极管断开,分析二极管两端电位的高低: 若 V阳 >V阴( 正偏 ),二极管导通(短路); 若 V阳

模拟电子技术基础pdf

模拟电子技术基础华教网1电子技术发展2。模拟信号和模拟电路3。电子信息系统的组成4。模拟电子技术基础课程特点5。如何学习本课程6。课程目标7。试验方法六氯环己烷华教网1电子技术的发展,电子技术的发展,促进了计算机技术的发展,使其“无所不在”并得到广泛应用!广播与通信:发射机、接收机、广播、录音、程控交换机、电话、手机;网络:路由器、ATM交换机、收发机、调制解调器;行业:钢铁、石化、机械加工、数控机床;交通:飞机、火车、船舶、汽车;军事:雷达、电子导航;航空航天:卫星定位、医疗监控:伽玛刀、CT、B超、微创手术;消费电子产品:家用电器(空调、冰箱、电视)、音频、视频摄像机、摄像机、电子手表)、电子玩具、各种报警器,安全系统HCH a华教网电子技术的发展很大程度上体现在元器件的开发上。1904年、1947年和1958年,从电子管到半导体管再到集成电路,集成电子管应运而生,晶体管研制成功。HCH-atsin与电子管、晶体管和集成电路的比较。半导体器件的发展华教网. 贝尔实验室在1947年制造了第一个晶体管,1958年制造了集成电路,1969年制造了大规模集成电路。第一个有四个晶体管的集成电路于1975年制造,1997年,一个集

成电路中有40亿个晶体管。一些科学家预测,整合程度将以每6年10倍的速度增长,到2015年或2020年达到饱和。学习电子技术课程时要时刻注意电子技术的发展!六氯环己烷华教网一些科学家要记住!第一个晶体管的发明者(由贝尔实验室的约翰·巴丁、威廉·肖克利和沃尔特·布拉丹发明)于1947年11月底发明了晶体管,并于12月16日正式宣布“晶体管”的诞生。他获得了诺贝尔物理学奖。1956年。1972年,他因对超导性的研究而获得诺贝尔物理学奖。1958年9月12日,第一个集成电路及其发明者Ti 的Jack Kilby在德州仪器实验室实现了将电子器件集成到半导体材料中的想法。42年后,他获得了2000年诺贝尔物理学奖。”奠定了现代信息技术的基础。

模拟乘法器1496实验报告

实验课程名称:_高频电子线路

五.实验原理与电路设计仿真 1、集成模拟乘法器1496的内部结构 集成模拟乘法器是完成两个模拟量(电压或电流)相乘的电子器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分立器件如二极管和三极管要简单的多,而且性能优越。所以目前在无线通信、广播电视等方面应用较多。集成模拟乘法器的常见产品有BG314、F1595、F1596、MC1495、MC1496、LM1595、LM1596等。下面介绍MC1496集成模拟乘法器。 (1)MC1496的内部结构 MC1496 是目前常用的平衡调制/解调器。它的典型应用包括乘、除、平方、开方、倍频、调制、混频、检波、鉴相、鉴频、动态增益控制等。MC1496 的和内部电路与外部引脚图如图1(a)(b)所示。 (a)1496内部电路 (b)1496引脚图 图1 MC1496的内部电路及引脚图 它内部电路含有 8 个有源晶体管,引脚 8 与 10 接输入电压 VX、1与 4接另一输入电压VY,6 与12 接输出电压 VO。一个理想乘法器的输出为VO=KVXVY,而实际上输出存在着各种误差,其输出的关系为:VO=K(VX +VXOS)(VY+VYOS)+VZOX。为了得到好的精度,必须消除 VXOS、VYOS与 VZOX三项失调电压。引脚 2 与 3 之间需外接电阻,对差分放大器 T5与 T6产生交流负反馈,可调节乘法器的信号增益,扩展输入电压的线性动态范围。 各引脚功能如下: 1:SIG+ 信号输入正端 2: GADJ 增益调节端 3:GADJ 增益调节端 4: SIG- 信号输入负端 5:BIAS 偏置端 6: OUT+ 正电流输出端 7: NC 空脚 8: CAR+ 载波信号输入正端 9: NC 空脚 10: CAR- 载波信号输入负端11: NC 空脚 12: OUT- 负电流输出端 13: NC 空脚 14: V- 负电源 (2)Multisim建立MC1496电路模块 启动multisim11程序,Ctrl+N新建电路图文件,按照MC1496内部结构图,将元器件放到电子工作平台的电路窗口上,按住鼠标左键拖动,全部选中。被选择的电路部分由周围的方框标示,表示完成子电路的选择。为了能对子电路进行外部连接,需要对子电路添加输入/输出。单击Place / HB/SB Connecter 命令或使用Ctrl+I 快捷操作,屏幕上出现输入/输出符号,

实验四 集成电路模拟乘法器的应用

实验四集成电路模拟乘法器的应用 模拟乘法器是利用晶体管的非线性特性,经过电路上的巧妙设计,在输出中仅保留两路输入信号中由非线性部分产生的信号的乘积项,从而获得良好的乘积特性的集成器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分立器件如二极管和三极管要简单的多,而且性能优越。所以目前在无线通信、广播电视等方面应用较多。集成模拟乘法器的常见产品有BG314、F1595、F1596、MC1495、MC1496、LM1595、LM1596等。本实验仅介绍MC1496集成模拟乘法器。 一、实验目的 1.了解模拟乘法器(MC1496)的组成结构与工作原理,掌握其调整与特性参数的测量方法。 2.掌握利用乘法器实现振幅调制(AM与DSB)、同步检波、混频、倍频等几种频率变换电路的原理及设计方法。 3.学会综合地、系统地应用已学到模电、数电与高频电子线路的知识,掌握对振幅调制、同步检波、鉴频、混频和倍频电路的设计与仿真技能,提高独立解决问题的能力。二、实验设备与仪器 高频实验箱 WHLG-2 一台 数字双踪示波器 TDS-1002 一台 高频信号发生器 WY-1052 一台 数字万用表一块 三、实验任务与要求 1、模拟乘法器1496的构成、基本原理说明 ①集成模拟乘法器的内部结构 MC1496集成模拟乘法器的内部电路结构和引脚排列如图4-1所示。 图4-1 MC1496的内部电路及引脚图

MC1496是双平衡四象限模拟乘法器。其中V1、V2与V3、V4组成双差分放大器,V5、V6组成的单差分放大器用以激励V1~V4。V7、V8及其偏置电路组成差分放大器V5、V6的恒流源。引脚8与10接输入电压C u ,1与4接另一输入电压t u ,输出电压o u 从引脚6与12输出。引脚2与3外接电阻R E ,对差分放大器V5、V6产生串联电流负反馈,以扩展输入电压y u 的线性动态范围。引脚14为负电源端(双电源供电时)或接地端(单电源供电时),引脚5外接电阻R5。用来调节偏置电流I 5及镜像电流I 0的值。 ② 集成模拟乘法器的1496偏置电压与电流的确定 ● 静态偏置电压的确定 静态偏置电压的设置应保证各个晶体管工作在放大状态,即晶体管的集—基极间的电压应大于或等于2V ,小于或等于最大允许工作电压。根据MC1496的特性参数,对于图4-1所示的内部电路,应用时,静态偏置电压(输入电压为0时)应满足下列关系,即: 12641108,,u u u u u u === ?? ? ?? ≥-≥≥-≥≥-≥V u u u V V u u u u V V u u u u V 7.2),(157.2),(),(152),(),(1554141108108126 ● 静态偏置电流的确定 一般情况下,晶体管的基极电流很小,对于图4-1,三对差分放大器的基极电流I 8、I 10、I 1和I 4可以忽略不计,因此器件的静态偏置电流主要由恒流源的值确定。当器件为单电源工作时,引脚14接地,5脚通过一电阻R 5接正电源(+U CC 的典型值为+12V ),由于I 0是I 5的镜像电流,所以改变电阻R 5可以调节I 0的大小,即: 当器件为双电源工作时,引脚14接负电源-U EE (一般接-8V),5脚通过一电阻R 5接地,因此,改变R 5也可以调节I 0的大小,即: 则: 当V EE =-8V ,I 5=1mA 时,可算得: R 5={(8-0.75)/(1X10-3 )}-500=6.75K Ω 取标称电阻,则R5=6.8K Ω 根据MC1496的性能参数,器件的静态电流小于4mA ,一般取mA I I 150==左右。 此时,器件的总耗散功率可由下式估算: ) ()(214551465u u I u u I P D -+-= PD 应小于器件的最大允许耗散功率(33mW )。 ● 负载电阻RC 的选择 Ω +-= ≈5007.0550R V u I I CC Ω +--= ≈5007.0550R V u I I EE Ω--= 5007 .05 5I V R EE

模拟电子技术基础pdf

模拟电子技术基础模拟电子技术基础https://www.doczj.com/doc/f73211971.html,简介1.电子技术的发展2.模拟信号和模拟电路3.电子信息系统的组成4.模拟电子技术的基础课程的特点5.如何学习本课程6.课程目的7.测试方法HCH atsin https://www.doczj.com/doc/f73211971.html, 1,电子技术的发展,电子技术的发展,促进计算机技术的发展,使其“无处不在”,广泛用过的!广播和通信:发射机,接收机,公共地址,录音,程控交换机,电话,移动电话;网络:路由器,ATM交换机,收发器,调制解调器;行业:钢铁,石化,机械加工,数控机床;运输:飞机,火车,轮船,汽车;军事:雷达,电子导航;航空航天:卫星定位,监测医疗:伽马刀,CT,B超检查,微创手术;消费类电子产品:家用电器(空调,冰箱,电视,音响,摄像机,照相机,电子手表),电子玩具,各种警报器,安全系统HCH a https://www.doczj.com/doc/f73211971.html,电子技术的发展在很大程度上反映了在组件开发中。1904年,1947年和1958年,从电子管到半导体管再到集成电路,集成电子管应运而生,晶体管得到了成功的开发。HCH atsin与电子管,晶体管和集成电路的比较https://www.doczj.com/doc/f73211971.html,半导体组件的发展,贝尔实验室在1947年制造了第一个晶体管,在1958年制造了集成电路,在1969年制造了LSI,在1975年制造了第一

个集成电路四个晶体管,而1997年单个集成电路中有40亿个晶体管。一些科学家预测,集成度将提高10倍/ 6年,到2015或2020年达到饱和。学习电子技术课程应始终注意发展电子技术!hch a tsin https://www.doczj.com/doc/f73211971.html,要记住的一些科学家!第一个晶体管的发明者(由贝尔实验室的John Bardeen,William schockley和Walter bradain发明)在1947年11月底发明了该晶体管,并于12月16日正式宣布了“晶体管”的诞生。他获得了诺贝尔物理学奖。1956年。1972年,他因超导研究而获得诺贝尔物理学奖。1958年9月12日,第一个集成电路及其发明者Ti的Jack Kilby在德州仪器公司的实验室中实现了将电子设备集成到半导体材料中的想法。42年后,他获得2000年诺贝尔物理学奖。“奠定了现代信息技术的基础”。

集成模拟乘法器及其应用-集成电路

第6章 集成模拟乘法器及其应用 6.1集成模拟乘法器 教学要求: 1.掌握集成模拟乘法器的基本工作原理; 2.理解变跨导模拟乘法器的基本原理; 3.了解单片集成模拟乘法器的外部管脚排列及外接电路特点。 一、集成模拟乘法器的工作原理 (一)模拟乘法器的基本特性 模拟乘法器是实现两个模拟量相乘功能的器件,理想乘法器的输出电压与同一时刻两个输入电压瞬时值的乘积成正比,而且输入电压的波形、幅度、极性和频率可以是任意的。其符号如下图所示,K 为乘法器的增益系数。 1.模拟乘法器的类型 理想乘法器—对输入电压没有限制, u x = 0 或 u y = 0 时,u O = 0,输入电压的波形、幅度、极性和频率可以是任意的 。 实际乘法器—u x = 0 , u y = 0 时,u O 1 0,此时的输出电压称为输出输出失调电压。u x = 0,u y 1 0 (或 u y = 0,u x 1 0)时,u O 1 0,这是由于u y (u x )信号直接流通到输出端而形成的,此时 的输出电压为u y (u x )的输出馈通电压。 (二)变跨导模拟乘法器的基本工作原理 变跨导模拟乘法器是在带电流源差分放大电路的基础上发展起来的,其基本原理电路如下图所示。

在室温下,K为常数,可见输出电压u 与输入电压u y、u x的乘积成正比,所以差分放大电路具有乘法功 O 能。但u y必须为正才能正常工作,故为二象限乘法器。当u Y较小时,相乘结果误差较大,因I C3随u Y而变,其比值为电导量,称变跨导乘法器 . 二、单片集成模拟乘法器 实用变跨导模拟乘法器由两个具有压控电流源的差分电路组成,称为双差分对模拟乘法器,也称为双平 衡模拟乘法器。属于这一类的单片集成模拟乘法器有MC1496、MC1595等。MC1496内部电路如下图所示。

模拟乘法器实验

模拟乘法器的应用 ——低电平调幅 姓名: 学号: 实验台号: 一、 实验目的 1、掌握集成模拟乘法器的工作原理及其特点 2、进一步掌握集成模拟乘法器(MC1596/1496)实现振幅调制、同步检波、混频、倍频的电路调整与测试方法 二、实验仪器 低频信号发生器 高频信号发生器频率计 稳压电源 万用表 示波器 三、实验原理 1、MC1496/1596 集成模拟相乘器 集成模拟乘法器是继集成运算放大器后最通用的模拟集成电路之一,是一种多用途的线性集成电路。可用作宽带、抑制载波双边带平衡调制器,不需要耦合变压器或调谐电路,还可作为高性能的SSB 乘法检波器、AM 调制解调器、FM 解调器、混频器、倍频器、鉴相器等,它与放大器相结合还可以完成许多数学运算,如乘法、除法、乘方、开放等。 MC1496 的内部电路继引脚排列如图所示 MC1496型模拟乘法器只适用于频率较低的场合,一般工作在1MHz 以下的频率。双差分对模拟乘法器MC1496/1596的差值输出电流为 1 21 562()( )( ) 22T y T i i i th th V R V υυυ=-≈

MC1595是差值输出电流为 式中,错误!未找到引用源。为乘法器的乘法系数。MC1496/1596使用时,VT1至VT6的基极均需外加偏置电压。 2.乘法器振幅调制原理 X通道两输入端8和10脚直流电位均为6V,可作为载波输入通道;Y通道两输入端1和4脚之间有外接调零电路;输出端6和12脚外可接调谐于载频的带通滤波器;2和3脚 之间外接Y通道负反馈电阻R 8。若实现普通调幅,可通过调节10kΩ电位器RP 1 使1脚电位 比4脚高错误!未找到引用源。,调制信号错误!未找到引用源。与直流电压错误!未找到引用源。叠加后输入Y通道,调节电位器可改变错误!未找到引用源。的大小,即改变调 制指数M a ;若实现DSB调制,通过调节10kΩ电位器RP 1 使1、4脚之间直流等电位,即Y通 道输入信号仅为交流调制信号。为了减小流经电位器的电流,便于调零准确,可加大两个750Ω电阻的阻值,比如各增大10Ω。 MC1496线性区好饱和区的临界点在15-20mV左右,仅当输入信号电压均小于26mV时,器件才有良好的相乘作用,否则输出电压中会出现较大的非线性误差。显然,输入线性动态范围的上限值太小,不适应实际需要。为此,可在发射极引出端2脚和3脚之间根据需要接 入反馈电阻R 8 =1kΩ,从而扩大调制信号的输入线性动态范围,该反馈电阻同时也影响调制器增益。增大反馈电阻,会使器件增益下降,但能改善调制信号输入的动态范围。 MC1496可采用单电源,也可采用双电源供电,其直流偏置由外接元器件来实现。 1脚和4脚所接对地电阻R 5、R 6 决定于温度性能的设计要求。若要在较大的温度变化 范围内得到较好的载波抑制效果(如全温度范围-55至+125),R 5、R 6 一般不超过51Ω;当 工作环境温度变化范围较小时,可以使用稍大的电阻。 R 1-R 4 及RP 1 为调零电路。在实现双边带调制时,R 1 和R 2 接入,以使载漏减小;在实现 普通调幅时,将R 1及R 2 短路(关闭开关S 1 、S 2 ),以获得足够大的直流补偿电压调节范围, 由于直流补偿电压与调制信号相加后作用到乘法器上,故输出端产生的将是普通调幅波,并 且可以利用RP 1 来调节调制系数的大小。 5脚电阻R 7决定于偏置电流I 5 的设计。I 5 的最大额定值为10mA,通常取1mA。由图可 看出,当取I 5=1mA,双电源(+12V,-8V)供电时,R 7 可近似取6.8kΩ。 输出负载为R 15,亦可用L 2 与C 7 组成的并联谐振回路作负载,其谐振频率等于载频,

模拟乘法器的应用

随着电子技术的发展,集成模拟乘法器应用也越来越广泛,它不仅应用于模拟量的运算,还广泛应用于通信、测量仪表、自动控制等科学技术领域。用集成模拟乘法器可以构成性能优良的调幅和检波电路,其电路元件参数通常采用器件典型应用参数值。作调幅时,高频信号加到输入端,低频信号加到Y输入端;作解调时,同步信号加到X输入端,已调信号加到Y输入端。调试时,首先检查器件各管脚直流电位应符合要求,其次调节调零电路,使电路达到平衡。集成模拟乘法器是实现两个模拟信号相乘的器件,它广泛用于乘法、除法、乘方和开方等模拟运算,同时也广泛用于信息传输系统作为调幅、解调、混频、鉴相和自动增益控制电路,是一种通用性很强的非线性电子器件,目前已有多种形式、多品种的单片集成电路,同时它也是现代一些专用模拟集成系统中的重要单元。 作调幅时,高频信号加到输入端,低频信号加到Y输入端;作检波时,同步信号加到X输入端,已调信号加到Y输入端。调试时,首先检查器件各管脚直流电位应符合要求,其次调节调零电路,使电路达到平衡。还需注意:(1)Y端 有关,否则输出波输入信号幅度不应超过允许的线性范围,其大小与反馈电阻R Y 形会产生严重失真;(2)X端输入信号可采用小信号(小于26mV)或者大信号(大于260mV),采用大信号可获得较大的调幅或解凋信号输出。信息传输系统中,检波是用以实现电信号远距离传输及信道复用的重要手段。由于低频信号不能实现远距离传输,若将它装载在高频信号上,就可以进行远距离传输,当使用不同频率的高频信号,可以避免各种信号之间的干扰,实现多路复用。 关键词:模拟乘法器,调幅器,检波器,MC1496

第一章、集成模拟乘法器的工作原理 (2) 第一节、模拟乘法器的基本特性 (2) 一、模拟乘法器的类型 (2) 第二节、变跨导模拟乘法器的基本工作原理 (2) 第三节、单片集成模拟乘法器 (3) 第二章、集成模拟乘法器的应用 (4) 第一节、基本运算电路 (4) 一、平方运算 (4) 二、除法运算器 (5) 三、平方根运算 (5) 四、压控增益 (5) 第二节、倍频、混频与鉴相 (6) 一、倍频电路 (6) 二、混频电路 (6) 三、鉴相电路 (6) 第三节、调幅与解调 (7) 一、信息传输的基本概念 (7) 二、调幅原理 (8) 三、采用乘法器实现解调(检波) (10) 第三章、MC1496模拟乘法器构成的振幅器 (10) 第一节、振幅调制的基本概念 (10) 第二节、抑制载波振幅调制 (13) 第三节、有载波振幅调制 (14) 第四章、MC1496模拟乘法器构成的同步检波器 (14) 总结 (18) 参考文献 (18) 附录 (19)

高频模拟乘法器的综合应用设计实验

学生学号实验课成绩 学生实验报告书 实验课程名称高频电子线路实验 开课学院信息工程学院 指导教师姓名 学生姓名 学生专业班级 20014-- 20015学年第一学期

实验课程名称:_高频电子线路 实验项目名称模拟乘法器的综合应用设计实验实验成绩 实验者专业班级组别25 同组者无实验日期2014年12月 13日一、实验目的、意义 1.了解模拟乘法器(MC1496)的电路组成结构与工作原理。 2.掌握利用乘法器实现振幅调制、同步检波、倍频与混频等几种频率变换电路的原理及设计方法。 3.学会综合地、系统地应用已学到模、数字电与高频电子线路技术的知识,掌握对振幅调制、同步检波、混频和倍频电路的制作与仿真技术,提高独立设计高频单元电路和解决问题的能力。 二.设计任务与要求 (1)设计任务: 用模拟乘法器实现振幅调制(含AM与DSB)、同步检波、混频、倍频等频率变换电路的设计,已知:模拟乘法器为1496,采用双电源供电,Vcc=12V Vee=-8V. (2)设计要求: ①全载波振幅调制与抑制载波振幅调制电路的设计与仿真: 基本条件:1MHz/100mV,调制信号:1-3KHz/200mV,模拟乘法器采用LM1496。 并按信号流程记录各级信号波形。计算此条件时的AM调制信号的调制度m= ? , 分析AM 与DSB信号m>100%时,过零点的特性。 ②同步检波器电路设计与仿真 实现对DSB信号的解调。 基本条件;载波信号UX:f=1MHZ /50-100mV,调制信号Uy:f=2KHz/200mV,并按信号流程记录各级信号波形。 ③混频器电路设计与仿真 实现对信号的混频。 基本条件:AM信号条件:(载波信号UX:f=1MHZ /50mV ,调制信号Uy:f=2KHz/200mV,M=30%)中频信号:465KHZ,本地载波:按接收机制式自定。记录各级信号波形。

模拟乘法器

沈阳大学科技工程学院 模拟乘法器 1.课程设计目的 随着电子技术的发展,集成模拟乘法器应用也越来越广泛,它不仅应用于模拟量的运算,还广泛应用于通信、测量仪表、自动控制等科学技术领域。 在本次课程设计实验中,通过对高频电子线路的振幅调制与解调,模拟乘法器的学习设计出由双差分对乘法器为主构成的乘法器常规调幅电路,通过对电路的设计,参数的确定,设计出了方案,按照设计的电路图在Multisim 仿真软件中画出具体的仿真电路图并进行了调试,观察实验结果并与课题要求的性能指标做了对比,最后对实验结果经行了分析总结。 2.设计方案论证 2.1 乘法器常规调幅的设计作用 随着电子技术的发展,集成模拟乘法器应用也越来越广泛,它不仅应用于模拟量的运算,还广泛应用于通信、测量仪表、自动控制等科学技术领域。用集成模拟乘法器可以构成性能优良的调幅和解调电路,其电路元件参数通常采用器件典型应用参数值。作调幅时,高频信号加到输入端,低频信号加到Y 输入端;作解调时,同步信号加到X 输入端,已调信号加到Y 输入端。调试时,首先检查器件各管脚直流电位应符合要求,其次调节调零电路,使电路达到平衡。集成模拟乘法器是实现两个模拟信号相乘的器件,它广泛用于乘法、除法、乘方和开方等模拟运算,同时也广泛用于信息传输系统作为调幅、解调、混频、鉴相和自动增益控制电路,是一种通用性很强的非线性电子器件,目前已有多种形式、多品种的单片集成电路,同时它也是现代一些专用模拟集成系统中的重要单元。 2.2乘法器常规调幅设计 调制就是指携带有用信息的调制信号去控制高频载波信号解调是调制的逆过程,将有用的低频信号从高频载波中还原出来。调幅过程是非线性变换的过程。 普通调幅是用需传送的信息(调制信号))(t u Ω去控制高频载波)(t u c 的振幅,使其随调制信号)(t u Ω的规律而变化。 调幅时,载波的频率和相位不变,而振幅将随调制信号线性变化。若载波信号为 t U t u c cm c ωcos )(=,调制信号为)(t u Ω。则普通调幅波的振幅为: )()(t u k U t U a cm cm Ω+=

模拟乘法器ADL5391的原理与应用

模拟乘法器ADL5391的原理与应用邮件群发 模拟乘法器是现代信号处理系统的重要组成单元,它广泛应用于锁相环、混频器、滤波器等信号处理电路中。ADL5391是美国ADI公司推出的宽频带、高性能、超对称的模拟乘法器。它具有2 GHz的可用带宽,是此前所有模拟乘法器所无法相比的。同时,ADL5391也是目前速度最快的模拟乘法器芯片之一。它将所有电路集成于一块芯片之中,使得ADL5391具有极高的速度。在文中的应用实例中,设计了一种基于ADL5 391的二倍频电路,可对输入的信号进行准确的二倍频,电路性能稳定,可广泛应用于混频、倍频、脉冲调制等领域。 1 ADL5391的主要特性 ADL5391凝聚了ADI公司三十年的先进模拟乘法器技术经验,其主要特性如下: 1)DC至2 GHz对称乘法器,传递函数为VW=αx(VXxVY),1 V+Vz; 2)独特的设计确保了X、Y的绝对对称,X、Y的幅度,时间响应相同; 3)可调、不随温度而变化、增益调整为α; 4)完全差分输入,输出或单端操作; 5)低噪声和高输出线性度; 6)单电源供电:4(5,5(5 V,130 mA; 7)3x3 mm、16引脚小型LFCSP封装。 2 ADL5391的工作原理 ADL5391的功能结构框图如图1所示,传递函数由下式给出: W=aXY,U+Z (1) 其中:X和Y是被乘数;U是乘法器的比例因子;α是乘法器增益;W是乘法器

的输出;Z是一个求和输入。所有的变量和比例因子单位都是伏特。 ADL5391最重大的改进就是采用了新型乘法器内核架构,它与自1970年开始使用的传统架构明显不同。传统的模拟乘法器(如AD835)几乎完全由吉尔伯特单元的拓扑结构或与其相近的电路实现。X和Y不对称的信号路径造成了X和Y之间幅度和时延的不平衡,这在高频时会出现问题。在ADL5391中,新型的乘法器内核提供了X和Y之间绝对的对称,尽量减小吉尔伯特单元中本身的差异。 ADL5391的功能结构框图展示了主乘法器单元和反馈乘法器单元,其中主乘法器用于接收X和Y输入信号,反馈乘法器位于反馈路径上,围绕在积分缓冲区附近,它的输入量是输出信号与求和输入信号之差(W-Z),和内部比例参考值。其中,反馈乘法器和主乘法器是相同的,由于该反馈乘法器基本上补偿了主乘法器上产生的缺损,因此常见的噪声、漂移或失真基本上被限制在了一阶。 3 ADL5391的应用实例 ADL5391主要运用于高频信号的运算和处理,如宽带的乘法和加法,高频模拟调制,自适应天线,平方律探测器,倍频等。以下给出了基于ADL5391的宽带乘法器电路,并且设计了基于该模拟乘法器的二倍频电路,并对其分别进行了性能测试。

高频,模拟乘法器汇总

实验课程名称:高频电子线路

MC1496 是目前常用的平衡调制/解调器。它的典型应用 包括乘、除、平方、开方、倍频、调制、混频、检波、鉴相、 鉴频、动态增益控制等。MC1496 的和内部电路与外部引脚图 如图1(a)(b)所示 (a)1496内部电路 (b)1496引脚图 图1 MC1496的内部电路及引脚图 它内部电路含有 8 个有源晶体管,引脚 8 与 10 接输入电压 VX、1与 4接另一输入电压VY,6 与12 接输出电压 VO。一个理想乘法器的输出为VO=KVXVY,而实际上输出存在着各种误差,其输出的关系为:VO=K(VX +VXOS)(VY+VYOS)+VZOX。为了得到好的精度,必须消除 VXOS、VYOS与 VZOX三项失调电压。引脚 2 与 3 之间需外接电阻,对差分放大器 T5与 T6产生交流负反馈,可调节乘法器的信号增益,扩展输入电压的线性动态范围。 各引脚功能如下: 1:SIG+ 信号输入正端 2: GADJ 增益调节端 3:GADJ 增益调节端 4: SIG- 信号输入负端 5:BIAS 偏置端 6: OUT+ 正电流输出端 7: NC 空脚 8: CAR+ 载波信号输入正端 9: NC 空脚 10: CAR- 载波信号输入负端11: NC 空脚 12: OUT- 负电流输出端 13: NC 空脚 14: V- 负电源 (2)Multisim建立MC1496电路模块 MC1496内部结构multisim电路图和电路模块如图2所示。

图2 MC1496的内部电路及电路模块引脚图 2、AM与DSB电路的设计与仿真 调幅就是用低频调制信号去控制高频振荡(载波)的幅度,使高频振荡的振幅按调制信号的规律变化。把调制信号和载波同时加到一个非线性元件上(例如晶体二极管或晶体三体管),经过非线性变换电路,就可以产生新的频率成分,再利用一定带宽的谐振回路选出所需的频率成分就可实现调幅。幅度调制信号按其不同频谱结构分为普通调幅(AM)信号,抑制载波的双边带(DSB)信号,抑制载波和一个边带的单边带(SSB)信号。 利用模拟乘法器相乘原理实现调幅是很方便的,工作原理如下:在乘法器的一个输入端输入载波信号另一输入端输入调制信号,则经乘法器相乘,可得输出抑制载波的双边带调幅信号的表达为: 若要输出普通调幅信号,只要调节外部电路的平衡电位器,使输出信号中有载波即可。输出信号表达式为: 普通振幅调制电路的原理框图与抑制载波双边带振幅调制电路的原理框图如图3所示 图3 ① AM与DSB电路的设计 查集成模拟乘法器MC1496 应用资料(附录1),得典型应用电路如图4所示。

模拟乘法器应用实验实验报告

模拟乘法器应用实验实验报告 姓名:王攀 学号:04085037 实验目的: (1)了解模拟乘法器的工作原理 (2)学会利用模拟乘法器完成平衡调制、混频、倍频、同步检波、鉴相及鉴频等功能。 实验仪器: 高频信号发生器QF1055A 一台; 超高频毫伏表DA22A 一台; 频率特性测试仪BT-3C 一台; 直流稳压电源HY1711-2 一台; 数字示波器TDS210 一台. 实验原理: 实验电路如图1所示。该电路可用来实现普通调幅、平衡调制、混频、倍频、同步检波等功能。图中R L为负载电阻,R B是偏置电阻,R E是负载反馈电阻,R W和R1、R2组成平衡调节电路,调节R W,可使1、4两脚的直流电位差为零,从

而满足平衡调幅的需要,若1、4脚直流电位差不为零,则1、4输入包括调制信号和直流分量两部分,此时可实现普通调幅波,电感L1和C1、C2组成BPF以混频输出所需的465KHz 中频信号,同步检波可用前边的限幅器(未给处)和模拟乘法器及低通滤波器(L2 C3 C4)构成。 图1.模拟乘法器应用电路一:振幅调制、混频等 实验内容: 1.实验前,所有实验先进行计算机仿真,研究载波、调 制信号大小及频率变化,直流分量大小对已调信号的 影响。 2.用模拟乘法器MC1596实现正弦调幅。分别加入 f x=500KHz,U x=100mV,f y=10KHz,U y=0.2V的信号时 调电位器R W工作在不平衡状态时便可产生含载波的

正弦调幅信号。 a:保持U x(t)不变,改变U y值:50mV、100mV、150mV、200mV、250mV时,观察U o(t)的变化,并作出m~U y(t)关系曲线(*m指以调信号的调幅系数测试时可用公式m=(A-B)/(A+B)) b:保持U y(t)不变,f y由小到大变化时,输出波形又如何变化? 3.用模拟乘法器MC1596实现平衡调幅波。 a:调平衡:将乘法器y输入端接地,即U y(t)=0,x输入端加入f x=500KHz,U x=50mV的输入信号,调电位器R W 使U o(t)=0。 b:分别加入f x=500KHz,U x=50mV;f y=50KHz,U y=200mV的信号时,微调R W即可得到平衡的双边带信号,描绘U o(t)的波形,要特别注意调制信号过零时载波倒相现象。 4.用MC1596实现倍频:调整模拟乘法器仍工作在平衡状态,在x输入端和y输入端同时加f i=200KHz,U i=50mV 信号,微调R w,用示波器双踪观察U o(t) 和U i(t)的关系,即有f o=2f i。

模拟乘法器

模拟乘法器及其应用 学院:信息工程 专业班级:电信1206 姓名:李嘉辛 学号: 0121209310603

摘要 模拟乘法器是一种普遍应用的非线性模拟集成电路。模拟乘法器能实现两个互不相关的模拟信号间的相乘功能。它不仅应用于模拟运算方面,而且广泛地应用于无线电广播、电视、通信、测量仪表、医疗仪器以及控制系统,进行模拟信号的变换及处理。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分立器件如二极管和三极管要简单的多,而且性能优越。 Analog multiplier is a kind of widely used nonlinear analog integrated circuits.Analog multiplier can be achieved between two unrelated analog multiplication function.It is not only applied in the simulation operation aspect, and widely used in radio, television, communications, measuring instruments, medical equipment and control system, the analog signal conversion and processing.In the high frequency electronic circuit, amplitude modulation, synchronous detection, mixing, frequency doubling, frequency, modulation and demodulation process, the same as can be seen as two signal multiplication or contain multiplication process.The function is realized by using integrated analog multiplier than using discrete components such as diodes and transistors are much more simple, and superior performance.

相关主题
文本预览
相关文档 最新文档