当前位置:文档之家› 模拟乘法器应用实验实验报告

模拟乘法器应用实验实验报告

模拟乘法器应用实验实验报告
模拟乘法器应用实验实验报告

模拟乘法器应用实验实验报告

姓名:王攀

学号:04085037

实验目的:

(1)了解模拟乘法器的工作原理

(2)学会利用模拟乘法器完成平衡调制、混频、倍频、同步检波、鉴相及鉴频等功能。

实验仪器:

高频信号发生器QF1055A 一台;

超高频毫伏表DA22A 一台;

频率特性测试仪BT-3C 一台;

直流稳压电源HY1711-2 一台;

数字示波器TDS210 一台.

实验原理:

实验电路如图1所示。该电路可用来实现普通调幅、平衡调制、混频、倍频、同步检波等功能。图中R L为负载电阻,R B是偏置电阻,R E是负载反馈电阻,R W和R1、R2组成平衡调节电路,调节R W,可使1、4两脚的直流电位差为零,从

而满足平衡调幅的需要,若1、4脚直流电位差不为零,则1、4输入包括调制信号和直流分量两部分,此时可实现普通调幅波,电感L1和C1、C2组成BPF以混频输出所需的465KHz 中频信号,同步检波可用前边的限幅器(未给处)和模拟乘法器及低通滤波器(L2 C3 C4)构成。

图1.模拟乘法器应用电路一:振幅调制、混频等

实验内容:

1.实验前,所有实验先进行计算机仿真,研究载波、调

制信号大小及频率变化,直流分量大小对已调信号的

影响。

2.用模拟乘法器MC1596实现正弦调幅。分别加入

f x=500KHz,U x=100mV,f y=10KHz,U y=0.2V的信号时

调电位器R W工作在不平衡状态时便可产生含载波的

正弦调幅信号。

a:保持U x(t)不变,改变U y值:50mV、100mV、150mV、200mV、250mV时,观察U o(t)的变化,并作出m~U y(t)关系曲线(*m指以调信号的调幅系数测试时可用公式m=(A-B)/(A+B))

b:保持U y(t)不变,f y由小到大变化时,输出波形又如何变化?

3.用模拟乘法器MC1596实现平衡调幅波。

a:调平衡:将乘法器y输入端接地,即U y(t)=0,x输入端加入f x=500KHz,U x=50mV的输入信号,调电位器R W 使U o(t)=0。

b:分别加入f x=500KHz,U x=50mV;f y=50KHz,U y=200mV的信号时,微调R W即可得到平衡的双边带信号,描绘U o(t)的波形,要特别注意调制信号过零时载波倒相现象。

4.用MC1596实现倍频:调整模拟乘法器仍工作在平衡状态,在x输入端和y输入端同时加f i=200KHz,U i=50mV 信号,微调R w,用示波器双踪观察U o(t) 和U i(t)的关系,即有f o=2f i。

软件仿真:

分子模拟

分子模拟 编辑 分子模拟,是指利用理论方法与计算技术,模拟或仿真分子运动的微观行为,广泛的应用于计算化学,计算生物学,材料科学领域,小至单个化学分子,大至复杂生物体系或材料体系都可以是它用来研究的对象。 计算机分子模拟技术Computer Molecular Simulation,CMS 目录 1分类 2计算机分子模拟技术 3应用 1分类编辑 分子模拟的工作可分为两类:预测型和解释型。 预测型工作是对材料进行性能预测、对过程进行优化筛选,进而为实验提供可行性方案设计。解释型工作即通过模拟解释现象、建立理论、探讨机理,从而为实验奠定理论基础。 2计算机分子模拟技术编辑 这是随着计算机在科研中的应用而发展起来的一门新的科学,是计算机科学与基础科学相结合的产物。在药物研究方面通过分析和计算一系列活性药物分子的三维构象并将其叠合,可以了解某一类药物分子所应具有的药物构象,这一信息给予新药研究很大帮助,药效构象的计算为今后的药效基团方法以及数据库虚拟筛选的方法打下了基础。 3应用编辑 近年来分子模拟技术发展迅速并在多个学科领域得到了广泛的应用。在药物设计领域,可用于研究病毒、药物的作用机理等;在生物科学领域,可用于表征蛋白质的多级结构与性质;在材料学领域,可用于研究结构与力学性能、材料的优化设计等;在化学领域,可用于研究表面催化及机理等;在石油化工领域,可用于分子筛催化剂结构表征、合成设计、吸附扩散,可构建和表征高分子链以及晶态或非晶态本体聚合物的结构,预测包括共混行为、机械性质、扩散、内聚与润湿以及表面粘接等在内的重要性质。 生物化学与分子生物学总论 ?生物化学?生物无机化学?原始生物化学?古生物化学?前生命化学 ?地球生物化学?放射生物化学?低温生物化学?制备生物化学?反向生物化学 ?生命科学?分子生物学?结构分子生物学?分子遗传学?生物信息学 ?反向生物学?结构生物学?生物能学?生物物理化学?生物物理学 ?酶学?糖生物学?基因组学?结构基因组学?功能基因组学 ?比较基因组学?药物基因组学?转基因学?蛋白质组学?RNA组学 ?糖组学?相互作用物组学?代谢物组学?代谢组学?表型组学 其他科技名词 ?转录物组学?基因组?功能基因组?蛋白质组?转基因组 ?转录物组?表型组?代谢物组?RNA组?糖组 ?相互作用物组?生物大分子?生物多聚体?单体?多体 ?寡聚体?多聚体?残基?一级结构?二级结构

计组-4位乘法器实验报告

实验4位乘法器实验报告 姓名:X XX 学号:X XX 专业:计算机科学与技术课程名称:计算机组成同组学生姓名:无 实验时间:实验地点:指导老师:XXX 一、实验目的和要求 1.熟练掌握乘法器的工作原理和逻辑功能 二、实验内容和原理 实验内容: 根据课本上例3-7的原理,来实现4位移位乘法器的设计。 具体要求:1. 乘数和被乘数都是4位 2. 生成的乘积是8位的 3. 计算中涉及的所有数都是无符号数 4.需要设计重置功能 5.需要分步计算出结果(4位乘数的运算,需要四步算出结果) 实验原理: 1.乘法器原理图

2.本实验的要求: 1.需要设计按钮和相应开关,来增加乘数和被乘数 2.每按一下M13,给一个时钟,数码管的左边两位显示每一步的乘 积 3.4步计算出最终结果后,LED灯亮,按RESET重新开始计算 三、主要仪器设备 1.Spartan-III开发板1套 2.装有ISE的PC机1台 四、操作方法与实验步骤 实验步骤: 1.创建新的工程和新的源文件 2.编写verilog代码(top模块、display模块、乘法运算模块、去抖动模块以及 UCF引脚) 3.进行编译 4.进行Debug 工作,通过编译。

5.. 生成FPGA代码,下载到实验板上并调试,看是否与实现了预期功能 操作方法: TOP: module alu_top(clk, switch, o_seg, o_sel); input wire clk; input wire[4:0] switch; output wire [7:0] o_seg; // 只需七段显示数字,不用小数点 output wire [3:0] o_sel; // 4个数码管的位选 wire[15:0] disp_num; reg [15:0] i_r, i_s; wire [15:0] disp_code; wire o_zf; //zero detector initial begin i_r <= 16'h1122; //0x1122 i_s <= 16'h3344; //0x3344 end alu M1(i_r, i_s, switch[4:2], o_zf, disp_code); display M3(clk, disp_num, o_seg, o_sel); assign disp_num = switch[0]?disp_code:(switch[1] ? i_s : i_r); endmodule

模拟乘法器实验

3.12模拟乘法器 一.实验目的 1. 了解模拟乘法器的构成和工作原理。 2. 掌握模拟乘法器在运算电路中的运用。 二.实验原理 集成模拟乘法器是实现两个模拟信号相乘的器件,它广泛用于乘法、除法、乘方和开方等模拟运算,同时也广泛用于信息传输系统作为调幅、解调、混频、鉴相和自动增益控制电路,是一种通用性很强的非线性电子器件,目前已有多种形式、多品种的单片集成电路,同时它也是现代一些专用模拟集成系统中的重要单元。 1. 模拟乘法器的基本特性 模拟乘法器是一种完成两个模拟信号(连续变化的电压或电流)相乘作用的电子器件,通常具有两个输入端和一个输出端,电路符号如图3-12-1所示。 u x u y o 图3-12-1 模拟乘法器的电路符号 若输入信号为x u , y u ,则输出信号o u 为: o u =k y u x u 式中: k 为乘法器的增益系数或标尺因子,单位为V 1 . 根据两个输入电压的不同极性,乘法输出的极性有四种组合,用图3-12-2所示的工作象限来说明。 图 3-12-2 模拟乘法器的工作象限 若信号x u 、y u 均限定为某一极性的电压时才能正常工作,该乘法器称为单象限乘法器;若信号x u 、y u 中一个能适应正、负两种极性电压,而另一个只能适应单极性电压,则为二象限乘法器;若两个输入信号能适应四种极性组合,称为四象限乘法器。

2. 集成模拟乘法器 集成模拟乘法器的常见产品有BG314、F1595、F1596、MC1495、MC1496、LM1595、LM1596等。下面介绍BG314集成模拟乘法器。 (1) BG314内部结构如图3-12-3所示,外部电路如图3-12-4所示: 1 8 43 7 6 5142+ 9 121110 13 7 图3-12-3 BG314内部电路

分子模拟实验报告分子光谱模拟

分子模拟实验作业——分子光谱模拟 一、 实验部分 1. 红 外 光 谱 : 分 别 用 PM3 , HF/6-31G(d),B3LYP/6-31G(d),MP2/6-31G(d)四种理论方法计算H 2O 分子的红外光谱,并比较结果的优劣。实验上测得的水分子的振动频-1-1 由图像可得四种理论方法得到的振动频率分别为

B3LYP/6-31G(d) 1634 cm-1、3566 cm-1、3662 cm-1 MP2/6-31G(d) 1644 cm-1、3544 cm-1、3684 cm-1 与标准值1594cm-1,3657cm-1,3756cm-1比较,HF/6-31G(d)最为接近标准值; PM3三个频率都偏大,与标准值符合情况不好;B3LYP/6-31G(d)除1634 cm-1与标准值较接近外,其余两个频率均偏小;MP2/6-31G(d) 1644 cm-1与标准值接近,其余两个频率均偏小。 2.拉曼光谱的模拟 HF/6-31G(d)计算的CH4分子的拉曼谱图 图中特征波数为3290 cm-1、3189 cm-1、1705 cm-1 3.紫外可见光谱的模拟 计算甲酸分子5个垂直激发的单重态和三重态,2个绝热激发的单重态和三重态,并确定垂直激发和绝热激发波长。 (1)垂直激发 --------------------------------------------------------------------- CI-SINGLES EXCITATION ENERGIES STATE HARTREE EV KCAL/MOL CM-1 --------------------------------------------------------------------- 1A 0.2550545872 6.9404 160.0492 55978.01

模拟乘法器及其应用

模拟乘法器及其应用

摘要 模拟乘法器是一种普遍应用的非线性模拟集成电路。模拟乘法器能实现两个互不相关的模拟信号间的相乘功能。它不仅应用于模拟运算方面,而且广泛地应用于无线电广播、电视、通信、测量仪表、医疗仪器以及控制系统,进行模拟信号的变换及处理。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分立器件如二极管和三极管要简单的多,而且性能优越。 Analog multiplier is a kind of widely used nonlinear analog integrated multiplier can be achieved between two unrelated analog multiplication is not only applied in the simulation operation aspect, and widely used in radio, television, communications, measuring instruments, medical equipment and control system, the analog signal conversion and the high frequency electronic circuit, amplitude modulation, synchronous detection, mixing, frequency doubling, frequency, modulation and demodulation process, the same as can be seen as two signal multiplication or contain multiplication function is realized by using integrated analog multiplier than using discrete components such as diodes and transistors are much more simple, and superior performance.

分子荧光光谱法实验报告

分子荧光光谱法实验报告 一、实验目的 1.掌握荧光光度计的基本原理及使用。 2.了解荧光分光光度计的构造和各组成部分的作用。 3.掌握分子荧光光度计分析物质的特征荧光光谱:激发光谱、发射光谱的测定方法。 4.了解影响荧光产生的几个主要因素。 5.学会运用分子荧光光谱法对物质进行定性和定量分析。 二、实验原理 原子外层电子吸收光子后,由基态跃迁到激发态,再回到较低能级或者基态时,发射出一定波长的辐射,称为原子荧光。对于分子的能级激发态称为分子荧光,平时所说的荧光指分子荧光。 具有不饱和基团的基态分子经光照射后,价电子跃迁产生荧光,是当电子从第一激发单重态S1的最低振动能级回到基态S0各振动能级所产生的光辐射。 (1)激发光谱 是指发光的某一谱线或谱带的强度随激发光波长(或频率)变化的曲线。横坐标为激发光波长,纵坐标为发光相对强度。 激发光谱反映不同波长的光激发材料产生发光的效果。即表示发光的某一谱线或谱带可以被什么波长的光激发、激发的本领是高还是低;也表示用不同波长的光激发材料时,使材料发出某一波长光的效

率。荧光为光致发光,合适的激发光波长需根据激发光谱确定——激发光谱是在固定荧光波长下,测量荧光体的荧光强度随激发波长变化的光谱。获得方法:先把第二单色器的波长固定,使测定的λem不变,改变第一单色器波长,让不同波长的光照在荧光物质上,测定它的荧光强度,以I为纵坐标,λex为横坐标所得图谱即荧光物质的激发光谱,从曲线上找出λex,,实际上选波长较长的高波长峰。 (2)发射光谱 是指发光的能量按波长或频率的分布。通常实验测量的是发光的相对能量。发射光谱中,横坐标为波长,纵坐标为发光相对强度。 发射光谱常分为带谱和线谱,有时也会出现既有带谱、又有线谱的情况。发射光谱的获得方法:先把第一单色器的波长固定,使激发的λex不变,改变第二单色器波长,让不同波长的光扫描,测定它的发光强度,以I为纵坐标,λem为横坐标得图谱即荧光物质的发射光谱;从曲线上找出最大的λem。 (3)荧光强度与荧光物质浓度的关系 用强度为I0的入射光,照射到液池内的荧光物质时,产生荧光,荧光强度If用仪器测得,在荧光浓度很稀(A 三、实验试剂和仪器试剂:罗丹明B乙醇溶液;1-萘酚乙醇溶液;3,3’-Diethyloxadicarbocyanine iodide:标准溶液,10μg/ml, 20μg/ml,30μg/ml,40μg/ml和未知浓度;蒸馏水;乙 醇。 仪器:Fluoromax-4荧光分光光度计;1cm比色皿;

南昌大学DSP实验报告

实验报告 实验课程:DSP原理及应用 学生姓名: 学号: 专业班级: 2012年 5月 25日

目录 实验一定点除法运算 实验二FIR滤波器 实验三FFT算法 实验四卷积计算 实验五数码管显示 实验六语音录放

实验一定点除法运算 一、实验目的 1、熟悉C54指令系统,掌握常用汇编指令,学会设计程序和算法的技巧。 2、学习用指令实现除法运算。 二、实验设备 计算机;DSP 硬件仿真器;DSP 实验开发平台。 三、实验原理 由内置的硬件模块支持,数字信号处理器可以高速的完成加法和乘法运算。但TMS320 系列DSP不提供除法指令,为实现除法运算,需要编写除法子程序来实现。二进制除法是乘法的逆运算。乘法包括一系列的移位和加法,而除法可分解为一系列的减法和移位。本实验要求编写一个16 位的定点除法子程序。 1.除法运算的过程设累加器为8 位,且除法运算为10 除以3,除的过程包括与除数有关的除数逐步移位,然后进行减法运算,若所得商为正,则在商中置1,否则该位商为0 例如:4 位除法示例:(1)数的最低有效位对齐被除数的最高有效位00001010 - 00011000 11110010 (2)由于减法结果为负,丢弃减法结果,将被除数左移一位再减00010100 - 00011000 11111000 (3)结果仍为负,丢弃减法结果,将被除数左移一位再减00101000 - 00011000 00010000 (4)结果为正,将减法结果左移一位后把商置1,做最后一次减00100001 - 00011000 00001001 (5)结果为正,将减法结果左移一位加1 得最后结果,高4 位是余数,低4 位商:00010011 2.除法运算的实现为了尽量提高除法运算的效率,’C54x 系列提供了条件减指令SUBC 来完成除法操作。 四、实验步骤 1.用Simulator 方式启动Code Composer。 2 .执行Project New 建立新的项目,输入chuf作为项目的名称,将程序定位在D:\ti\myprojects\chuf目录。 3.执行File New Source File 建立新的程序文件,为创建新的程序文件命名为chuf.asm 并保存;执行Project Add Files to Project,把chuf.asm 加入项目中。4.执行File New Source File 建立新的文件并保存为chuf.cmd;执行Project Add Files to Project,把chuf.cmd 加入项目中。 5.编辑chuf.asm 加入如下内容: ;*** 编制计算除法运算的程序段。其中|被除数|<|除数|,商为小数*** .title "chuf.asm" .mmregs .def start,_c_int00

8位乘法器实验报告

6.2 8位乘法器的设计 1.实验目的 (1)熟悉isEXPERT/MAX+plusisEXPERT/MAX+plus II/Foudation Series 软件的基本使用方法。 (2)熟悉GW48-CK EDA实验开发系统的基本使用方法。 (3)学习VHDL基本逻辑电路的综合设计。 2.实验内容 设计并调试好由8位加法器构成的以时序逻辑方式设计的8位乘法器。此乘法器通过判断被乘数的位值为1还是零,并通过乘数的左移与上一次和相加的方法,实现了8位乘法的运算,并用GW48-CK EDA实验开发系统进行硬件验证。 3.实验条件 (1)开发设备:Lattice ispEXPERT。 (2)实验设备:GW48-CK EDA实验开发系统。 (3)拟用芯片:ispLSI1032E PLCC-84或EPF10K10LC84-3或XCS05/XL PLCC84以及运算控制电路和外部时钟。 4.实验设计 1)系统的原理框图

2)VHDL源程序 (1)选通与门模块的源程序ANDARITH.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY ANDARITH IS PORT(ABIN: IN STD_LOGIC; DIN: IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUT: OUT STD_LOGIC_vector(7 DOWNTO 0)); END ENTITY ANDARITH; ARCHITECTURE ART OF ANDARITH IS BEGIN PROCESS(ABIN,DIN)IS BEGIN FOR I IN 0 TO 7 LOOP DOUT(I)<=DIN(I)AND ABIN; END LOOP; END PROCESS; END ARCHITECTURE ART; (2)16位锁存器的源程序REG16B.VHD LIBRARY IEEE;

自动控制原理实验书(DOC)

目录 实验装置介绍 (1) 实验一一、二阶系统阶跃响应 (2) 实验二控制系统稳定性分析 (5) 实验三系统频率特性分析 (7) 实验四线性系统串联校正 (9) 实验五 MATLAB及仿真实验 (12)

实验装置介绍 自动控制原理实验是自动控制理论课程的一部分,它的任务是:一方面,通过实验使学生进一步了解和掌握自动控制理论的基本概念、控制系统的分析方法和设计方法;另一方面,帮助学生学习和提高系统模拟电路的构成和测试技术。 TAP-2型自动控制原理实验系统的基本结构 TAP-2型控制理论模拟实验装置是一个控制理论的计算机辅助实验系统。如上图所示,TAP-2型控制理论模拟实验由计算机、A/D/A 接口板、模拟实验台和打印机组成。计算机负责实验的控制、实验数据的采集、分析、显示、储存和恢复功能,还可以根据不同的实验产生各种输出信号;模拟实验台是被控对象,台上共有运算放大器12个,与台上的其他电阻电容等元器件配合,可组成各种具有不同系统特性的实验对象,台上还有正弦、三角、方波等信号源作为备用信号发生器用;A/D/A 板安装在模拟实验台下面的实验箱底板上,它起着模拟与数字信号之间的转换作用,是计算机与实验台之间必不可少的桥梁;打印机可根据需要进行连接,对实验数据、图形作硬拷贝。 实验台由12个运算放大器和一些电阻、电容元件组成,可完成自动控制原理的典型环节阶跃响应、二阶系统阶跃响应、控制系统稳定性分析、系统频率特性测量、连续系统串联校正、数字PID 、状态反馈与状态观测器等相应实验。 显示器 计算机 打印机 模拟实验台 AD/DA 卡

实验一一、二阶系统阶跃响应 一、实验目的 1.学习构成一、二阶系统的模拟电路,了解电路参数对系统特性的影响;研究二阶系统的两个重要参数:阻尼比ζ和无阻尼自然频率ωn对动态性能的影响。 2.学习一、二阶系统阶跃响应的测量方法,并学会由阶跃响应曲线计算一、二阶系统的传递函数。 二、实验仪器 1.自动控制系统实验箱一台 2.计算机一台 三、实验原理 模拟实验的基本原理: 控制系统模拟实验采用复合网络法来模拟一、二阶系统,即利用运算放大器不同的输入网络和反馈网络模拟一、二阶系统,然后按照给定系统的结构图将这些模拟环节连接起来,便得到了相应的模拟系统。再将输入信号加到模拟系统的输入端,并利用计算机等测量仪器,测量系统的输出,便可得到系统的动态响应曲线及性能指标。 若改变系统的参数,还可进一步分析研究参数对系统性能的影响。 四、实验内容 构成下述系统的模拟电路,并测量其阶跃响应: 1.一阶系统的模拟电路如图

模拟乘法器调幅AM、DSB、SSB实验报告

模拟乘法器调幅(AM、DSB、SSB)实验报告

————————————————————————————————作者:————————————————————————————————日期:

实验十二模拟乘法器调幅(AM、DSB、SSB) 一、实验目的 1.掌握用集成模拟乘法器实现全载波调幅。抑止载波双边带调幅和单边带调幅的方法。 2.研究已调波与调制信号以及载波信号的关系。 3.掌握调幅系数的测量与计算方法。 4.通过实验对比全载波调幅、抑止载波双边带调幅和单边带调幅的波形。 5.了解模拟乘法器(MC1496)的工作原理,掌握调整与测量其特性参数的方法。 二、实验内容 1.调测模拟乘法器MC1496正常工作时的静态值。 2.实现全载波调幅,改变调幅度,观察波形变化并计算调幅度。 3.实现抑止载波的双边带调幅波。 4.实现单边带调幅。 三、实验原理 幅度调制就是载波的振幅(包络)随调制信号的参数变化而变化。本实验中载波是由晶体振荡产生的465KHz高频信号,1KHz的低频信号为调制信号。振幅调制器即为产生调幅信号的装置。 1.集成模拟乘法器的内部结构 集成模拟乘法器是完成两个模拟量(电压或电流)相乘的电子器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分离器件如二极管和三极管要简单得多,而且性能优越。所以目前无线通信、广播电视等方面应用较多。集成模拟乘法器常见产品有BG314、F1596、MC1495、MC1496、LM1595、LM1596等。 (1)MC1496的内部结构 在本实验中采用集成模拟乘法器MC1496来完成调幅作用。MC1496是四象限模拟乘法器。其内部电路图和引脚图如图12-1所示。其中V1、V2与V3、V4组成双差分放大器,以反极性方 式相连接,而且两组差分对的恒流源V5与V6又组成一对差分电路,因此恒流源的控制电压可 图12-1 MC1496的内部电路及引脚图 正可负,以此实现了四象限工作。V7、V8为差分放大器V5与V6的恒流源。 (2)静态工作点的设定 1)静态偏置电压的设置

模拟乘法器调幅(AM、DSB、SSB)实验报告

实验十二模拟乘法器调幅(AM、DSB、SSB) 一、实验目的 1.掌握用集成模拟乘法器实现全载波调幅。抑止载波双边带调幅和单边带调幅的方法。 2.研究已调波与调制信号以及载波信号的关系。 3.掌握调幅系数的测量与计算方法。 4.通过实验对比全载波调幅、抑止载波双边带调幅和单边带调幅的波形。 5.了解模拟乘法器(MC1496)的工作原理,掌握调整与测量其特性参数的方法。 二、实验内容 1.调测模拟乘法器MC1496正常工作时的静态值。 2.实现全载波调幅,改变调幅度,观察波形变化并计算调幅度。 3.实现抑止载波的双边带调幅波。 4.实现单边带调幅。 三、实验原理 幅度调制就是载波的振幅(包络)随调制信号的参数变化而变化。本实验中载波是由晶体振荡产生的465KHz高频信号,1KHz的低频信号为调制信号。振幅调制器即为产生调幅信号的装置。 1.集成模拟乘法器的内部结构 集成模拟乘法器是完成两个模拟量(电压或电流)相乘的电子器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分离器件如二极管和三极管要简单得多,而且性能优越。所以目前无线通信、广播电视等方面应用较多。集成模拟乘法器常见产品有BG314、F1596、MC1495、MC1496、LM1595、LM1596等。 (1)MC1496的内部结构 在本实验中采用集成模拟乘法器MC1496来完成调幅作用。MC1496是四象限模拟乘法器。其内部电路图和引脚图如图12-1所示。其中V1、V2与V3、V4组成双差分放大器,以反极性方 式相连接,而且两组差分对的恒流源V5与V6又组成一对差分电路,因此恒流源的控制电压可 图12-1 MC1496的内部电路及引脚图 正可负,以此实现了四象限工作。V7、V8为差分放大器V5与V6的恒流源。 (2)静态工作点的设定 1)静态偏置电压的设置

模拟乘法器1496实验报告

实验课程名称:_高频电子线路

五.实验原理与电路设计仿真 1、集成模拟乘法器1496的内部结构 集成模拟乘法器是完成两个模拟量(电压或电流)相乘的电子器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分立器件如二极管和三极管要简单的多,而且性能优越。所以目前在无线通信、广播电视等方面应用较多。集成模拟乘法器的常见产品有BG314、F1595、F1596、MC1495、MC1496、LM1595、LM1596等。下面介绍MC1496集成模拟乘法器。 (1)MC1496的内部结构 MC1496 是目前常用的平衡调制/解调器。它的典型应用包括乘、除、平方、开方、倍频、调制、混频、检波、鉴相、鉴频、动态增益控制等。MC1496 的和内部电路与外部引脚图如图1(a)(b)所示。 (a)1496内部电路 (b)1496引脚图 图1 MC1496的内部电路及引脚图 它内部电路含有 8 个有源晶体管,引脚 8 与 10 接输入电压 VX、1与 4接另一输入电压VY,6 与12 接输出电压 VO。一个理想乘法器的输出为VO=KVXVY,而实际上输出存在着各种误差,其输出的关系为:VO=K(VX +VXOS)(VY+VYOS)+VZOX。为了得到好的精度,必须消除 VXOS、VYOS与 VZOX三项失调电压。引脚 2 与 3 之间需外接电阻,对差分放大器 T5与 T6产生交流负反馈,可调节乘法器的信号增益,扩展输入电压的线性动态范围。 各引脚功能如下: 1:SIG+ 信号输入正端 2: GADJ 增益调节端 3:GADJ 增益调节端 4: SIG- 信号输入负端 5:BIAS 偏置端 6: OUT+ 正电流输出端 7: NC 空脚 8: CAR+ 载波信号输入正端 9: NC 空脚 10: CAR- 载波信号输入负端11: NC 空脚 12: OUT- 负电流输出端 13: NC 空脚 14: V- 负电源 (2)Multisim建立MC1496电路模块 启动multisim11程序,Ctrl+N新建电路图文件,按照MC1496内部结构图,将元器件放到电子工作平台的电路窗口上,按住鼠标左键拖动,全部选中。被选择的电路部分由周围的方框标示,表示完成子电路的选择。为了能对子电路进行外部连接,需要对子电路添加输入/输出。单击Place / HB/SB Connecter 命令或使用Ctrl+I 快捷操作,屏幕上出现输入/输出符号,

分子模拟实验报告分子光谱模拟

1.红外光谱:分别用 PM3 ,HF/6-31G(d),B3LYP/6-31G(d),MP2/6-31G(d) 四种理论方法计算 H 2O 分子的红外光谱,并比较结果的优劣。实验 上测得的水分子的振动频率为:1594cm 1, 3657cm -1, 3756cm 1。 k(Lore ntz) B3LYP/6-31G(d) 由图像可得四种理论方法得到的振动频率分别为 PM3 1698 cm -1、3770 cm -1、3880 cm -1 HF/6-31G(d) 1634 cm -1、3662 cm -1、3770 cm -1 B3LYP/6-31G(d) 1634 cm -1、3566 cm -1、3662 cm -1 MP2/6-31G(d) 1644 cm -1、3544 cm -1、 3684 cm -1 与标准值1594cm -1, 3657cm'1,3756cm'1比较,HF/6-31G(d)最为接近标准值; PM3三个频率都偏大,与标准值符合情况不好; B3LYP/6-31G(d)除1634 cm -1与 分子模拟实验作业 一、实验部分 分子光谱模拟 k(Lore ntz) -DrnelPL (. 4000 3000 2000 -1 /cm 1000 '! 0.00 0.01 0.02 0.03 0.04 0.05 0.06 4000 3000 2000 1000 /cm -1 PM3 HF/6-31G(d) ------ k(Lore ntz) k(Lore ntz) 0.00 0.01 r 0.04 0.05 J _______ ! ______ ______ J ______ ______ !_ 4000 3000 2000 -1 /cm 1000 MP2/6-31G(d) 0.000 0.002 _ 0.004 0.006 0.008 0.010 0.012 - 0.014 0.02 0.03 - e /cm

实验三---集成乘法器幅度调制实验

实验三---集成乘法器幅度调制实验

高频实验报告实验名称:集成乘法器幅度调制实验 南京理工大学紫金学院电光系一、实验目的

a) 通过实验了解集成乘法器幅度调制的工作原理,验证普通调幅波(AM ) 和抑制载波双边带调幅波(AM SC DSB -/)的相关理论。 b) 掌握用集成模拟乘法器MC1496实现AM 和DSB-SC 的方法,并研究调制信 号、载波信号与已调波之间的关系。 c) 掌握在示波器上测量与调整调幅波特性的方法。 二、实验基本原理与电路 1.调幅信号的原理 (一) 普通调幅波(AM )(表达式、波形、频谱、功率) (1).普通调幅波(AM )的表达式、波形 设调制信号为单一频率的余弦波: t U u m Ω=ΩΩcos ,载波信号为 : t U u c cm c ωcos = 普通调幅波(AM )的表达式为AM u =t t U c AM ωcos )()cos 1(t m U a cm Ω+=t c ωcos 式中, a m 称为调幅系数或调幅度。 由于调幅系数a m 与调制电压的振幅成正比,即 m U Ω越大, a m 越大,调幅波 幅度变化越大, 一般 a m 小于或等于1。如果 a m >1,调幅波产生失真,这种情况称为过调幅。 未调制状态调制状态 m a Ucm ω0 Ω 图3-1 调幅波的波形 (2). 普通调幅波(AM )的频谱 普通调幅波(AM )的表达式展开得: t U m t U m t U u c cm a c cm a c cm AM )cos(2 1 )cos(21cos Ω-+Ω++ =ωωω 它由三个高频分量组成。将这三个频率分量用图画出,便可得到图

Booth乘法器实验报告

运算器部件实验:Booth乘法器 班级:软件工程 一、实验目的 理解并掌握乘法器的原理。 二、实验原理 Booth算法是一种十分有效的计算有符号数乘法的算法。算法的新型之处在于减法也可用于计算乘积。Booth发现加法和减法可以得到同样的结果。因为在当时移位比加法快得多,所以Booth发现了这个算法,Booth算法的关键在于把1分类为开始、中间、结束三种,如下图所示 当然一串0或者1的时候不操作,所以Booth算法可以归类为以下四种情况: Booth算法根据乘数的相邻2位来决定操作,第一步根据相邻2位的4中情况来进行加或减操作,第二部仍然是将积寄存器右移,算法描述如下: (1)根据当前为和其右边的位,做如下操作: 00: 0的中间,无任何操作; 01: 1的结束,将被乘数加到积的左半部分; 10:1的开始,积的左半部分减去被乘数; 11: 1的中间,无任何操作。 (2)将积寄存器右移1位。 因为Booth算法是有符号数的乘法,因此积寄存器移位的时候,为了保留符号位,进行算术右移。同时如果乘数或者被乘数为负数,则其输入为该数的补码,若积为负数,则输出结果同样为该数的补码。

三、实验步骤 (1)打开QuartusII (2)将子板上的JTAG端口和PC机的并行口用下载电缆连接,打开试验台电源。 (3)执行Tools→Programmer命令,将booth_multiplier.sof下载到FPGA 中。 (4)在实验台上通过模式开关选择FPGA-CPU独立调试模式010. (5)将开关CLKSEL拨到0,将短路子DZ3短接且短路子DZ4断开,使FPGA-CPU 所需要的时钟使用正单脉冲时钟。 四、实验现象 五、具体代码实现 端口声明: port ( clk: in std_logic; md : in std_logic_vector(3 downto 0); mr : in std_logic_vector(3 downto 0);

实验四 集成电路模拟乘法器的应用

实验四集成电路模拟乘法器的应用 模拟乘法器是利用晶体管的非线性特性,经过电路上的巧妙设计,在输出中仅保留两路输入信号中由非线性部分产生的信号的乘积项,从而获得良好的乘积特性的集成器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分立器件如二极管和三极管要简单的多,而且性能优越。所以目前在无线通信、广播电视等方面应用较多。集成模拟乘法器的常见产品有BG314、F1595、F1596、MC1495、MC1496、LM1595、LM1596等。本实验仅介绍MC1496集成模拟乘法器。 一、实验目的 1.了解模拟乘法器(MC1496)的组成结构与工作原理,掌握其调整与特性参数的测量方法。 2.掌握利用乘法器实现振幅调制(AM与DSB)、同步检波、混频、倍频等几种频率变换电路的原理及设计方法。 3.学会综合地、系统地应用已学到模电、数电与高频电子线路的知识,掌握对振幅调制、同步检波、鉴频、混频和倍频电路的设计与仿真技能,提高独立解决问题的能力。二、实验设备与仪器 高频实验箱 WHLG-2 一台 数字双踪示波器 TDS-1002 一台 高频信号发生器 WY-1052 一台 数字万用表一块 三、实验任务与要求 1、模拟乘法器1496的构成、基本原理说明 ①集成模拟乘法器的内部结构 MC1496集成模拟乘法器的内部电路结构和引脚排列如图4-1所示。 图4-1 MC1496的内部电路及引脚图

MC1496是双平衡四象限模拟乘法器。其中V1、V2与V3、V4组成双差分放大器,V5、V6组成的单差分放大器用以激励V1~V4。V7、V8及其偏置电路组成差分放大器V5、V6的恒流源。引脚8与10接输入电压C u ,1与4接另一输入电压t u ,输出电压o u 从引脚6与12输出。引脚2与3外接电阻R E ,对差分放大器V5、V6产生串联电流负反馈,以扩展输入电压y u 的线性动态范围。引脚14为负电源端(双电源供电时)或接地端(单电源供电时),引脚5外接电阻R5。用来调节偏置电流I 5及镜像电流I 0的值。 ② 集成模拟乘法器的1496偏置电压与电流的确定 ● 静态偏置电压的确定 静态偏置电压的设置应保证各个晶体管工作在放大状态,即晶体管的集—基极间的电压应大于或等于2V ,小于或等于最大允许工作电压。根据MC1496的特性参数,对于图4-1所示的内部电路,应用时,静态偏置电压(输入电压为0时)应满足下列关系,即: 12641108,,u u u u u u === ?? ? ?? ≥-≥≥-≥≥-≥V u u u V V u u u u V V u u u u V 7.2),(157.2),(),(152),(),(1554141108108126 ● 静态偏置电流的确定 一般情况下,晶体管的基极电流很小,对于图4-1,三对差分放大器的基极电流I 8、I 10、I 1和I 4可以忽略不计,因此器件的静态偏置电流主要由恒流源的值确定。当器件为单电源工作时,引脚14接地,5脚通过一电阻R 5接正电源(+U CC 的典型值为+12V ),由于I 0是I 5的镜像电流,所以改变电阻R 5可以调节I 0的大小,即: 当器件为双电源工作时,引脚14接负电源-U EE (一般接-8V),5脚通过一电阻R 5接地,因此,改变R 5也可以调节I 0的大小,即: 则: 当V EE =-8V ,I 5=1mA 时,可算得: R 5={(8-0.75)/(1X10-3 )}-500=6.75K Ω 取标称电阻,则R5=6.8K Ω 根据MC1496的性能参数,器件的静态电流小于4mA ,一般取mA I I 150==左右。 此时,器件的总耗散功率可由下式估算: ) ()(214551465u u I u u I P D -+-= PD 应小于器件的最大允许耗散功率(33mW )。 ● 负载电阻RC 的选择 Ω +-= ≈5007.0550R V u I I CC Ω +--= ≈5007.0550R V u I I EE Ω--= 5007 .05 5I V R EE

分子模拟实验实验报告设计实验二氯卡宾

分子模拟实验作业——设计实验 -----------二氯卡宾与甲醛环加成反应的理论研究 一、实验背景 在大二的有机实验中,我接触到了连续合成实验,其中有一类反应为相转移催化合成·卡宾及其反应。卡宾(Carbene)亦称为碳烯,是一类具有六个加点字的两价碳原子活性中间体,构造式为:CH2。其中的氢原子可以被其他原子或基团所取代,这类取代物称为卡宾体或取代卡宾。卡宾是缺电子的,具有很强的亲电性,可发生多种反应。在有机合成中常使之与烯烃反应以制取环丙烷衍生物,上网查阅资料后,我想到了可以结合本学期所学的分子模拟理论计算方法来模拟二氯卡宾与甲醛环加成反应。(题目来源于有机化学实验和查阅到的文献)二氯卡宾是一种取代卡宾,通常由氯仿与强碱作用产生: CHCl3 + Base :CH2 + HB + Cl- 为了进一步探讨卤代卡宾与含有不对称π键物质环加成反应的机理,本文对单重态二氯卡宾与甲醛加成生成二氯环氧丙烷反应: 进行了量子化学从头算的研究,得到了该反应的反应机理,并对其反应机理作了理论分析说明.同时计算了该反应在不同温度下的热力学函数和动力学性质,并作了讨论。 二、实验内容 ①用分子轨道理论分析二氯卡宾与甲醛环加成的机理 用Chem3D软件做出二氯卡宾与甲醛的分子轨道能级图,计算分子轨道,并图示二氯卡宾和甲醛的HOMO和LUMO轨道的形状和能量。将所有分子轨道按能级排列次序,并以此分析两反应物的轨道匹配情况。(优化条件:Gamess Interface,HF/6-31G(d))

对于该环加成反应的机理可借助于分子轨道图进行分析。根据轨道对称匹配条件,在反应过程中,应首先是C1的2p 空轨道插入甲醛的成键π轨道,但因甲醛中的羰基是一极性基团,π键电子云密集于氧端,故C1的2p 空轨道将从氧端插入其π轨道.因π电子向C1的2p 空轨道中的迁移,从而使二者首先生成了一半环状的中间配合物。由于二氯卡宾的?孤对电子与甲醛C 端的反键π轨道之间有着较强的成键作用,故随着反应的进行,二氯卡宾将在C1C20平面内按逆时针方向发生旋转.同时H1-C2和H2-C2键也由在中间配合物中与C1C20的共

模拟乘法器调幅实验报告

模拟乘法调幅(AM、DSB) 实验报告 姓名: 学号: 班级: 日期:

模拟乘法调幅(A M、DSB )模块4 一、实验目的 1、掌握用集成模拟乘法器实现全载波调幅和抑止载波双边带调幅方法。 2、研究已调波与调制信号以及载波信号的关系。 3、掌握调幅系数的测量与计算方法。 4、通过实验对比全载波调幅、抑止载波双边带调幅波形。 5、了解模拟乘法器(MC1496)的工作原理,掌握调整与测量其特性参数的方法。 6、掌握用集成模拟乘法器构成调幅与检波电路的方法。 二、实验原理 调幅与检波原理简述: 调幅就是用低频调制信号去控制高频振荡(载波)的幅度,使高频振荡的振幅按调制信号的规律变化;而检波则是从调幅波中取出低频信号。 本实验中载波是465KHz 高频信号,10KHz 的低频信号为调制信号。 集成四象限模拟乘法器MC1496简介: 本器件的典型应用包括乘、除、平方、开方、倍频、调制、混频、检波、鉴相、鉴频动态增益控制等。它有两个输入端VX 、VY 和一个输出端VO 。一个理想乘法器的输出为VO=KVXVY ,而实际上输出存在着各种误差,其输出的关系为:VO=K (VX +VXOS )(VY+VYOS )+VZOX 。为了得到好的精度,必须消除VXOS 、VYOS 与VZOX 三项失调电压。集成模拟乘法器MC1496是目前常用的平衡调制/解调器,内部电路含有8 个有源晶体管。 MC1496的内部原理图和管脚功能如下图所示: MC1496各引脚功能如下: 1)、SIG+ 信号输入正端 2)、GADJ 增益调节端 3)、GADJ 增益调节端 4)、SIG- 信号输入负端 5)、BIAS 偏置端 6)、OUT+ 正电流输出端 7)、NC 空脚 8)、CAR+ 载波信号输入正端 9)、NC 空脚 10)、CAR- 载波信号输入负端 11)、NC 空脚 12)、OUT- 负电流输出端 13)、NC 空脚 14)、V- 负电源 实验电路说明 用MC1496集成电路构成的调幅器电路如下图所示 14131211109876 54 32 1SIG+GADJ GADJ SIG-BIAS OUT+NC V-NC OUT-NC CAR-NC CAR+ 126 23 14 51 1084

分子模拟实验实验报告二

分析模拟实验实验报告(二) 武汉大学化学与分子科学学院 一、实验结果

2.问题7-1-2 画“三键链”的结果是什么? 答: “三键链”画不出来 3.问题7-1-3 画出多个联苯环的共轭结构 答:画不出“多联苯环的共轭结构” 5.问题7-3-1 计算H2O的二聚体的结构

6.问题7-4-1 7.问题7-4-2 简介:IUPAC名称为 benzylidene[1,3-bis(2,4,6-trimethylphenyl)-2-imidazolidinylidene]dichloro(tricy clohexylphosphine)ruthenium——苯亚甲基·[1,3-双(三甲基苯基)-2-咪唑啉亚基]·二氯·(三环己基膦)合钌。它具有比原催化剂更高的活性和选择性以及相似的稳定性,但对空气和水敏感,因此需要在氮气或氩气惰性气氛中使用。其催化活性比第一代催化剂提高了两个数量级,在开环复分解聚合反应中的用量可以降低到百万分之一,在某些关环复分解反应中的用量也仅为万分之五。特别适用于低张力的环状烯烃及位阻较大的多取代烯烃的合成。

原因:1.表现中心金属原子钌(Ru)与各配体之间在空间结构上的关系,包括两个卡宾基团和一个膦配体以及两个氯原子。 2.凸显催化剂中的杂原子 二、实验收获 相较于第一次这两次对于Chem3D使用才真正感觉到Chem3D的实用与方便。 学习到了三种不同的分子结构模型创建方法,经过自己的实践操作深刻体会到各个方法之间的差别,基本可以在应用时做到根据需求与情况选择合适的建模方法。 了解了4种分子结构最优化计算方法Hartree-Fock、MP2、B3LYP和PM3,并分别使用这四种计算方法计算H2O的二聚体的结构。体会不同的理论方法的计算结果的差异。进行计算时应该遵从从简单到复杂的原则,对于不同的分子与反应选择合适的计算方法,既保证计算结果的准确性又要能够提高计算速度。

相关主题
文本预览
相关文档 最新文档