当前位置:文档之家› 《计算机组成原理》实验1寄存器试验,2运算器试验

《计算机组成原理》实验1寄存器试验,2运算器试验

实验指导书

课程:计算机组成原理实验教师:

班级:

第一章系统概述1.1 实验系统组成

第二章基础模块实验

实验一寄存器实验

实验目的:

熟悉试验仪各部分功能。

掌握寄存器结构、工作原理及其控制方法。

实验内容:

利用实验仪开关区上的开关sk23-sk16提供数据,其它开关做为控制信号,将数据通过DBUS写入OUT 寄存器,并将OUT寄存器的内容送往扩展区通过数码管和发光二极管显示。

实验原理:

实验箱用74HC273 来构成寄存器。

(1)74HC273的功能如下:

(2)实验箱中74HC273的连接方式:

(3)实验逻辑框图

1

2、打开实验仪电源,按CON单元的nRST按键,系统复位;如果EXEC键上方指示灯不亮,请按一次

EXEC键,点亮指示灯,表示实验仪在运行状态。

3、利用开关和控制信号将数据通过DBUS写入OUT寄存器,并将OUT寄存器的内容送往扩展区通过数

码管和发光二极管显示。并写出将数据5FH写入OUT寄存器的操作过程。

实验二运算器实验

实验目的:

了解运算器的组成结构;掌握运算器的工作原理和控制方法。

实验内容:

利用实验仪提供的运算器,通过开关提供数据信号,将数据写入寄存器A和寄存器B,并用开关控制ALU的运算方式,验证运算器的功能。

实验原理:

(1)实验逻辑框图:

信号说明:

IN0~IN7:ALU数据输入信号

ALU_D0~ALU_D7:ALU数据输出信号

:寄存器A写信号,低电平有效。当T1节拍信号到来,该信号有效时,IN0~IN7数据可以写入

寄存器A。

:寄存器B写信号,低电平有效。当T2节拍信号到来,该信号有效时,IN0~IN7数据可以写入寄存器B。

:ALU计算结果读出信号,当T3节拍信号到来,该信号有效时,ALU计算结果送往

ALU_D0~ALU_D7。

S3~S0,CN_I:ALU运算控制信号,控制ALU的运算方法。

T1,T2,T3:三个节拍信号,高电平有效,由con区的uSTEP按键控制,在运行状态时,依次按下uSTEP 键会依次发出T1、T2、T3节拍。

FC,FZ,FS,I:进位标志FC、零标志FZ、正负标志FS,中断允许标志I

(2)ALU功能表

ALU功能表

S3、S2、S1、S0、CN_I为控制信号,FC-进位标志,FZ-零标志,FS-正负标志,I-中断允许标志;表中功能栏内的FC、FZ、FS表示当前运算会影响到该标志。

*表中‘X’表示任意值,下同

实验步骤:

1

2、打开实验仪电源,按CON单元的nRST按键,系统复位;如果EXEC键上方指示灯不亮,请按一次

EXEC键,点亮指示灯,表示实验仪在运行状态。

3、给寄存器A赋值

4、给寄存器B赋值

5、利用S0~S3和CN_I,设置ALU计算功能

6、ALU运算结果输出

7、重复步骤3~6,按照下表修改S0~S3和CN_I的值,从而改变计算功能,将结果填入下列实验结果统计

表,并自己验证计算结果正确性。

参考资料:

实验箱运算器原理图

运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A 和暂存器B,三个部件同时接受来自A和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),控制信号S3..S0、CN_I决定哪个部件工作、对操作数进行何种运算,S3..S0通过多路选择开关选择这个部件的结果作为ALU的输出;如果运算影响进位标志FC、零标志FZ、正负标志位FS,在T3状态的下降沿,结果分别锁存到FC、FZ、FS ;I是中断允许标志位。ALU中所有模块集成在一片CPLD中。

逻辑运算部件由逻辑门构成,较为简单,后一节有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是8×8位桶形移位器,这样,可以使所有的移位操作都可以一次完成。下图是一个4×4位桶形移位器

所有的输入通过交叉开关与所有的输出端相连。

如右移2位,第2条对角线(右移2)上的2个交叉开关接通,即第3位(in[3])右移至第1位(out[1]),第2

位(in[2])移至第0位(out[0])。

又如右环移1位,第3条对角线(右移1)和第7条对角线(左3,3=4-1)同时有效,即可方便地实现右环移。

逻辑左移/右移只须把没连接的输出位同时充以“0”即可实现;算术右移也只须把没连接的输出位用符号位填充即可。

运算器部件由一片CPLD实现。ALU的输出通过三态门连到CPU内部数据总线(iDBus)上,另外还有指示灯标明进位标志FC、零标志FZ、正负标志FS。请注意:图中T1、T2、T3、nRST已与CON单元相连,其它信号都来自于ALU单元的排针上。

实验仪所有单元的T1、T2、T3、nRST已与控制台(CON)单元的T1、T2、T3、nRst连接,nRst提供复位信号;T1、T2、T3是一个微指令周期的三个节拍,高电平有效,瞬间只有一个信号有效,初始状态T1、T2、T3都是低电平。wA(允许写暂存器A)、wB(允许写暂存器B)、rALU(允许ALU结果输出到内部数据总线(iDBus)上),都是低电平有效。

暂存器A和暂存器B的数据能在LED灯上实时显示,原理如下图:

进位标志FC、零标志FZ、正负标志FS、内部数据总线i D7…iD0的显示原理与此类似;B、寄存器R0-3、堆栈寄存器SP、标志寄存器PSW(含FC、FZ、FS、I)共用R_0..R_7八个发光二极管,通过Select 按键选择,按键上方的发光二极管指示R_0..R_7显示那个寄存器的值。

附录1 键、指示灯说明

1 指示灯

一、状态指示灯

EXEC键上方的发光二极管DS111亮,表示实验仪处于运行状态;DS111不亮,表示实验仪处于编辑状态,可以检查、修改uM(微存贮器)、MEM(存贮器)。

二、uM/M指示灯

在编辑状态,uM/M键上方发光二极管DS112亮,可以检查、修改uM;DS112不亮,可以检查、修改MEM。

三、节拍指示灯

2 按键

一、运行状态

EXEC键上方的发光二极管亮,表示实验仪处于运行状态;按一次EXEC按键,发光二极管熄灭,表示实验仪处于编辑状态,可以检查、修改uM(微存贮器)、MEM(存贮器)。

运行状态时,STEP键、uSTEP键、iSTEP键、PULSE键有效;

STEP键:依次发出T1、T2、T3节拍

uSTEP键:如果当前是T1节拍,结束T1,进入T2节拍(T1 = 0,T2 = 1)

如果当前是T2节拍,结束T2,进入T3节拍(T2 = 0,T3 = 1)

如果当前是T3节拍,结束T3,进入T1节拍(T3 = 0,T1 = 1)

iSTEP键:按一次iSTEP,运行完当前机器指令对应的所有微指令(微程序),等待执行下一条机器指令

PULSE键:单脉冲按键,按一次,在JP87上发出一个单脉冲。

二、编辑状态

编辑状态时,ADDR键、+1键、-1键、uM/M键、nRD键、nWR键有效

1)ADDR键:读取IN单元的8位数据,作为操作uM、MEM的8位地址。

MEM:地址送到ABus总线上;uM:地址显示在uPC单元的uPC0-uPC7指示灯上。

2)+1键、-1键

(1)检查、修改MEM

+1键:当前地址+1

-1键:当前地址-1

3)uM/M键

uM/M键上方发光二极管DS112亮,可以检查、修改uM;DS112不亮,可以检查、修改MEM。按uM/M 键可以切换。

4)nRD键

(1)MEM

按住nRD键,从MEM单元的6116中读出当前地址对应的数据到外部数据总线DBus上,松开nRD键,DBus总线上数据消失

(2)uM

如果当前针对uM低8位操作,按一次nRD键,从uM单元低八位对应的6116/2816中读出当前地址对应的数据,并锁存到uM7..uM0,uM7..uM0的指示灯显示数据;

如果当前针对uM中8位操作,按一次nRD键,从uM单元中八位对应的6116/2816中读出当前地址对

应的数据,并锁存到uM15..uM8,uM15..uM8的指示灯显示数据;

如果当前针对uM高8位操作,按一次nRD键,从uM单元高八位对应的6116/2816中读出当前地址对应的数据,并锁存到uM23..uM16,uM23..uM16的指示灯显示数据;

如果当前针对uM最高8位操作,按一次nRD键,从uM单元最高八位对应的6116/2816中读出当前地址对应的数据,并锁存到uM31..uM24,uM31..uM24的指示灯显示数据;

5)nWR键有效

(1)MEM

按住nWR键,读取IN单元的8位数据,将数据通过外部数据总线DBus写到MEM单元的6116中,松开nWR键,DBus总线上数据消失。

(2)uM

按nWR一次键,读取IN单元的8位数据,如果当前针对uM低8位操作,数据写到uM单元低八位对应的6116/2816中,并锁存到uM7..uM0,uM7..uM0的指示灯显示数据;

按nWR一次键,读取IN单元的8位数据,如果当前针对uM中8位操作,数据写到uM单元中八位对应的6116/2816中,并锁存到uM15..uM8,uM15..uM8的指示灯显示数据;

按nWR一次键,读取IN单元的8位数据,如果当前针对uM高8位操作,数据写到uM单元高八位对应的6116/2816中,并锁存到uM23..uM16,uM23..uM16的指示灯显示数据;

按nWR一次键,读取IN单元的8位数据,如果当前针对uM最高8位操作,数据写到uM单元最高八位对应的6116/2816中,并锁存到uM31..uM24,uM31..uM24的指示灯显示数据;

附录2

计算机组成原理实验报告11

实验一:寄存器实验 实验1. 1:A,W寄存器实验 请仔细观察实验结果,并回答以下问题: 1:数据是何时打入的?是按下STEP键还是放开STEP键后? 答:数据是按下STEP键打入的. 2:WEN,AEN为高时,CK有上升沿,寄存器数据会不会改变? 答:WEN为高时,寄存器数据有改变,AEN为高时,寄存器数据不会改变。 实验出现的问题: 刚开始时,对实验工具不熟悉,不能快速的找到连线插口。偶尔还会出现错连情况。 心得体会:初步熟悉了仪器,对数据写入寄存器有了实践后认识。 实验内容2:R0,R1,R2,R3寄存器实验 请仔细观察实验结果,并回答以下问题: SB,SA用于寄存器的选择,请根据实验观察结果,填写下表: 实验结果即现象: 将给定的数据写入R0,R1,R2,R3寄存器并置给定的控制信号后,每次都按住STEP,寄存器R0,R1,R2,R3,的黄色选择指示灯变亮。 实验出现的问题: 偶尔在置二进制开关时,会出现手误。 实验心得体会: 通过实验现象,对数据写入寄存器有了直观的认识。

实验内容3:MAR地址寄存器,ST堆栈寄存器,OUT输出寄存器实验 ①将12H写入MAR寄存器 按住STEP脉冲键,CK由高变低,这时寄存器MAR的黄色选择指示灯亮,表明选择MAR寄存器, 放开STEP键,CK由低变高,产生一个上升沿,数据34H被写入ST寄存器。 ②将34H写入ST寄存器 按住STEP脉冲键,CK由高变低,这时寄存器ST的黄色选择指示灯亮,表明选择MAR寄存器, 放开STEP键,CK由低变高,产生一个上升沿,数据34H被写入ST寄存器。 ③将56H写入OUT寄存器 按住STEP脉冲键,CK由高变低,这时寄存器OUT的黄色选择指示灯亮,表明选择MAR寄存器,放开STEP键,CK由低变高,产生一个上升沿,数据34H被写入ST寄存器。 实验出现的问题:这个实验中没出现什么问题。 实验心得体会:手动操作,通过观察实验现象变化,对数据写入寄存器有了直观认识。 实验二:运算器实验 按下表设置控制信号,填写实验结果,并检验运算器的运算结果的正确性

计算机组成原理实验说明

实验一运算器组成实验 一、实验目的 1.熟悉双端口通用寄存器堆(组)的读写操作。 2.熟悉简单运算器的数据传送通路。 3.验证运算器74LS181的算术逻辑功能。 4.按给定数据,完成指定的算术、逻辑运算。 二、实验原理 上图是本实验所用的运算器数据通路图。参与运算的数据首先通过实验台操作板上的八个二进制数据开关SW7-SW0来设置,然后输入到双端口通用寄存器堆RF中。

RF由一个ispLSI1016实现,功能上相当于四个8位通用寄存器,用于保存参与运算的数据,运算后的结果也要送到RF中保存。双端口寄存器堆模块的控制信号中,RS1、RS0用于选择从B端口(右端口)读出的通用寄存器,RD1、RD0用于选取从A端口(左端口)读出的通用寄存器。而WR1、WR0用于选择写入的通用寄存器。LDRi是写入控制信号,当LDRi=1时,数据总线DBUS上的数据在T3写入由WR1、WR0指定的通用寄存器。RF的A、B端口分别与操作数暂存器DR1、DR2相连:另外,RF的B端口通过一个三态门连接到数据总线DBUS上,因而RF 中的数据可以直接通过B端口送到DBUS上。 DR1和DR2各由1片74LS273构成,用于暂存参与运算的数据。DR1接ALU 的A输入端口,DR2接ALU的B端口。ALU由两片74LS181构成,ALU的输出通过一个三态门(74LS244)发送到数据总线DBUS上。 图中尾巴上带粗短线标记的信号都是控制信号,其中S3,S2,Sl,S0,M,Cn#,LDDR2,LDDRl, ALU-BUS#,SW-BUS#、LDRi、RS1、RS0、RD1、RD0、WR1、WR0等是电位信号,用电平开关K0—Kl5来模拟。T2、T3是脉冲信号,印制板上已连接到实验台的时序电路上。#为低电平有效。K0—K15是一组用于模拟各控制电平信号的开关,开关向上时为1,开关向下时为0,每个开关无固定用途,可根据实验具体情况选用。 实验中进行单拍操作,每次只产生一组Tl,T2,T3,T4脉冲,需将实验台上的DP,DB开关进行正确设置。将DP开关置l,将DB开关置0,每按一次QD 按钮,则顺序产生Tl、T2、T3、T4各一个单脉冲。 三、实验任务 1.按图要求,将运算器模块与实验台操作板上的线路进行连接。 置DP=1,DB=0,编程开关拨到正常位置. 2.用开关SW7-SW0向通用寄存器堆RF内的R0-R3寄存器置数34H、21H、52H、65H。然后读出R0-R3的内容,在数据总线DBUS上显示出来。 3.令DR1=55H、DR2=0AAH、Cn#=1,验证ALU的正逻辑算术、逻辑运算功能。 四、实验要求 1.做好实验预习。掌握运算器的数据传送通路和ALU的功能特性,并熟悉本实验中所用的控制台开关的作用和使用方法。

(完整word版)计算机组成原理实验1~4

实验一寄存器实验 一、实验目的 1、了解CPTH模型机中寄存器的结构、工作原理及其控制方法. 2、熟悉CPTH实验仪的基本构造及操作方法。 二、实验电路 寄存器的作用是用于保存数据的,因为CPTH模型机是8位的,因此模型机中大部寄存器是8 位的,标志位寄存器(Cy, Z)是二位的. CPTH 用74HC574 (8—D触发器)来构成寄存器。74HC574 的功能如表1—1所示: 图1-1 74HC574的引脚图 1. 在CLK的上升沿将输入端的数据打入到8 个触发器中 2. 当OC = 1 时触发器的输出被关闭,当OC=0 时触发器的输出数据 表1-1 74HC574功能表 图1—2 74HC574工作波形图 三、实验内容 (一)proteus仿真平台 1、proteus仿真平台简介 Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件.它的主界面如图1-3所示:

图1—3 proteus仿真平台主界面 2、在proteus平台上运行电路:寄存器_1.DSN。拨动开关,观察灯的亮灭,回答思考题1。 思考题1:先使OC=1,拨D0~D7=00110011,按下CK提供CLK上升沿;再拨D0~D7=01000100,OC=0,此时Q0~Q7为多少? 3、CPTH模型机上,寄存器A的电路组成如图1-4所示。在proteus平台上运行电路:寄存器_2.DSN,回答思考题2。 图1-4 寄存器A原理图 思考题2:数据从D端传送到Q端,相应的控制端如何设置? 3、CPTH模型机上,寄存器组R0~R3的电路组成如图1-5所示。在proteus平台上运行电路:寄存器_3。DSN,回答思考题3。

计算机组成原理实验二

上海大学计算机学院 《计算机组成原理实验》报告二 姓名:学号:教师: 时间:机位:报告成绩: 实验名称:运算器实验 一、实验目的:1.学习数据处理部件的工作方式控制。 2. 学习机器语言程序的运行过程。 二、实验原理:CP226实验仪的运算器由一片CPLD实现,包括8种运算功能。运算时先将 数据写到寄存器A和寄存器W中,根据选择的运算方式系统产生运算结果 送到直通门D。 手动方式下,运算功能的通过信号S1、S2、S3选择。 S2 S1 S0 功能 0 0 0 A+W 加 0 0 1 A-W 减 0 1 0 A|W 或 0 1 1 A&W 与 1 0 0 A+W+C 带进位加 1 0 1 A-W-C 带进位减 1 1 0 ~A A取反 1 1 1 A 输出A 带进位的加、减运算还应该另外给出进位CyIN。 实验箱上可以向DBUS送数据的寄存器有:直通门D、左移门L、右移门R、 程序计数器PC、中断向量寄存器IA、外部输入寄存器IN和堆栈寄存器ST。 它们由138译码器的输入信号X2,X1,X0的编码来选择。见输出寄存器 选择表。 X2 X1 X0 输出寄存器 0 0 0 IN_OE 外部输入门 0 0 1 IA_OE 中断向量 0 1 0 ST_OE 堆栈寄存器 0 1 1 PC_OE PC寄存器 1 0 0 D_OE 直通门 1 0 1 R_OE 右移门 1 1 0 L_OE 左移门 1 1 1 没有输出

三、实验内容:1. 计算37H +56H 后左移一位的值送OUT 输出。 2. 把36H 取反后同54H 相与的值送入R1寄存器。 四、实验步骤:1. 计算37H +56H 后左移一位的值送OUT 输出。 ①按下表的方式连线: ① ① 接完线后打开电源。 ②用实验一的方法将数据37H 写入寄存器A 中,K23到K16分别为00110111,并将数据保存即置k0为1的位置。用相同的方法将56H 写入到寄存器W 中。 ③置X2X1X0分别为100,即k8k7k6为100,同时置S2S1S0为000执行A+W 的操作,即置k4k3k2为000。就实现了将A+W 的值写入到直通门D 中。 ④再将X2X1X0置为110,即为左移门,按下STEP 键,产生CK 脉冲,D 中的数据就为结果。将k9置为0,同时k1k2置为11,按下STEP 键,产生CK ⑤将k9置为0,同时k1k2置为11,按下STEP 键,产生CK 脉冲。就将直通门D 中的数据送到OUT 寄存器中。同时将k9置为1保存数据。 2. 把36H 取反后同54H 相与的值送入R1寄存器。 ① 按下表的方式连线: 控制电键 k12 k11 k10 k8 k7 k6 k4 k3 k2 k1 k0 被控对象 RWR SB SA X2 X1 X0 S2 S1 S0 WEN AEN 接完线后打开电源。 ②用实验一的方法将数据36H 写入寄存器A 中,K23到K16分别为00110110,并将数据保存即置k0为1的位置。用相同的方法将54H 写入到寄存器W 中。 ③将k0置为0,将S2S1S0置为110,即将k4k3k2置为110执行取反操作,同时将X2X1X0置为100,即将k8k7k6置为100,将计算数据传到直通门D 中,按下STEP 键,寄存器A 中显示9C 。再将k0置为1。 ④将X2X1X0置为100,即将k8k7k6置为100,将S2S1S0置为011,即将k4k3k2置为011,进行相与操作。按下STEP 键直通门D 中显示的结果为最后结果。 ⑤将k12k11k120分别置于001,按下STEP 键将直通门D 中的数据传到R1中。R1中显示数据为40。 五、实验现象:1. 计算37H +56H 后左移一位的值送OUT 输出。 寄存器OUT 上显示数据为1A 。 控制电键 k9 K8 k7 k6 k4 k3 k2 k1 k0 被控对象 OUTEN X2 X1 X0 S2 S1 S0 WEN AEN

计算机组成原理实验报告_4

《计算机组成原理及系统结构》实验报告 实验名称运算器实验、通用寄存器实验、移位寄存器实验 实验室实验楼418 实验日期2014-11-20、2014-11-25、2014-11-27 实验一运算器实验 一、实验目的 1. 掌握简单运算器的数据传输方式。 2. 验证运算器功能发生器(74LS181)及进位控制的功能组合。 3. 完成不带进位及带进位算术运算实验、逻辑运算实验,了解算术逻辑运算单元的运用。 二、实验原理 图1输入设备单元 实验中所用的输入/输出设备如图1所示。此次实验需要连线的有三个部分,一是运算单元,二是时序启停单元,三是缓冲输入单元。进行实验时,先按动复位按钮,让系统进行初始化,然后,操作通过【增址】切换到“L”状态,即手动模式进行,通过键盘,数据开关和二进制开关单元控制数据输入。写操作,读操作和运算结果都通过数据显示灯显示,该显示接口以二进制方式显示输出结果(灯亮表示该输出位为1,灯灭表示该输出位为0)。【单步】键用来控制脉冲信号,二进制开关中的LDDR1,LDDR2,ALU-B,SW-B,S3,S2,S1,S0,CN,M均为高电平有效(灯亮为高电平,灯灭为低电平). 两片74LS181芯片以串/并形式构成的8位字长的运算器,两个芯片的控制端S0-S3和M各自相连,运算器的两个数据输入端分别由两个数据暂存器DR1,DR2锁存数据。将总线中的数据锁存到DR1和DR2中,则LDDR1或LDDR2需为高电平,当脉冲来临时,总线中的数据就可以锁存到DR1和DR2中了。数据显示灯已于总线相连,用来显示总线上的数据,当DR1,DR2打入数据时,数据开关三态门打开,运算器输入三态门关闭,输出

计算机组成原理实验报告

实验二算术逻辑运算实验 一、实验目的 (1)了解运算器芯片74ls181的逻辑功能。 (2)掌握运算器数据的载入、读取方法,掌握运算器工作模式的设置。 (3)观察在不同工作模式下数据运算的规划。 二、实验原理 1.运算器芯片74ls181的逻辑功能 主要引脚有: (1)A0-A3:第一组操作数据输入端。 (2)B0-B3:第二组操作数据输入端。 (3)F0-F3:操作数据结果输出端。 (4)S0-S3:操作功能控制端。 (5)?Cn:低端进位接收器。 (6)?Cn4:低端进位输出器。 (7)M:算术/逻辑功能控制端。 2.运算器实验逻辑电路 逻辑电路中,两片74ls181芯片构成一个长度为8位的运算器,两片74ls373分别作为第一操作数据寄存器和第二操作数据寄存器,一片74ls245作为操作结果数据输出缓冲器。算术运算操作时的进位Cy判别进位指示电路;判零Zi和零标志电路指示电路,将在实验三中使用。 第一操作数据由B-DA1(BUS TO DATA1)负脉冲控制信号送入名为DA1的第一操作数据寄存器,第二操作数据由B-DA2负脉冲控制信号送入名为DA2的第二操作数据寄存器。 ALU-低电平控制信号送总线。S0-S3、M芯片模式控制信号74ls181的运算结果数据由B 同时与两片74ls81的S0-S3、M端相连,保证二者以同一工作模式工作。实验电路的低端进位接收端Ci与低4位的74ls181的Cn相连,用于接收外部进位信号。低4位74ls181的Cn 与高4位74ls181的Cn上相连,实现高、低4位之间的进位信号的传递。高4位74ls181 Cn送进位Cy判别和进位指示电路。 的4

计算机组成原理实验报告

计算机组成原理实验报告

实验一寄存器实验 一、实验目的 (1)了解模型机中A, W寄存器结构、工作原理及其控制方法。 (2)了解模型机中寄存器组R0..R3结构、工作原理及其控制方法。 二、实验要求 (1)A、W寄存器:利用COP2000实验仪上的K16..K23开关做为DBUS的 数据,其它开关做为控制信号,将数据写入寄存器A,W。 (2)R0、R1、R2、R3寄存器实验:利用COP2000实验仪上的K16..K23开 关做为DBUS的数据,其它开关做为控制信号,对数据寄存器组R0..R3 进行读写。 三、实验说明 寄存器的作用是用于保存数据的,因为我们的模型机是8位的,因此在本模型机中大部寄存器是8位的,标志位寄存器(Cy, Z)是二位的。 COP2000用74HC574来构成寄存器。74HC574的功能如下: 注意: 1. 在CLK的上升沿将输入端的数据打入到8个触发器中

74HC574工作波形图 四、实验原理 实验1:A,W寄存器实验 (1)原理图 寄存器A原理图 寄存器W原理图(2)工作波形图

寄存器A,W写工作波形图 (4)实验数据 a.)将31H写入A寄存器 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据31H。 置控制信号为: 按住CLOCK脉冲键,CLOCK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A寄存器。放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据31H被写入A寄存器。 b)将61H写入W寄存器 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据61H。 置控制信号为:

《计算机组成原理》实验1寄存器试验,2运算器试验

实验指导书 课程:计算机组成原理实验教师: 班级:

第一章系统概述1.1 实验系统组成

第二章基础模块实验 实验一寄存器实验 实验目的: 熟悉试验仪各部分功能。 掌握寄存器结构、工作原理及其控制方法。 实验内容: 利用实验仪开关区上的开关sk23-sk16提供数据,其它开关做为控制信号,将数据通过DBUS写入OUT 寄存器,并将OUT寄存器的内容送往扩展区通过数码管和发光二极管显示。 实验原理: 实验箱用74HC273 来构成寄存器。 (1)74HC273的功能如下: (2)实验箱中74HC273的连接方式: (3)实验逻辑框图

1 2、打开实验仪电源,按CON单元的nRST按键,系统复位;如果EXEC键上方指示灯不亮,请按一次 EXEC键,点亮指示灯,表示实验仪在运行状态。 3、利用开关和控制信号将数据通过DBUS写入OUT寄存器,并将OUT寄存器的内容送往扩展区通过数 码管和发光二极管显示。并写出将数据5FH写入OUT寄存器的操作过程。

实验二运算器实验 实验目的: 了解运算器的组成结构;掌握运算器的工作原理和控制方法。 实验内容: 利用实验仪提供的运算器,通过开关提供数据信号,将数据写入寄存器A和寄存器B,并用开关控制ALU的运算方式,验证运算器的功能。 实验原理: (1)实验逻辑框图: 信号说明: IN0~IN7:ALU数据输入信号 ALU_D0~ALU_D7:ALU数据输出信号 :寄存器A写信号,低电平有效。当T1节拍信号到来,该信号有效时,IN0~IN7数据可以写入 寄存器A。 :寄存器B写信号,低电平有效。当T2节拍信号到来,该信号有效时,IN0~IN7数据可以写入寄存器B。 :ALU计算结果读出信号,当T3节拍信号到来,该信号有效时,ALU计算结果送往 ALU_D0~ALU_D7。 S3~S0,CN_I:ALU运算控制信号,控制ALU的运算方法。 T1,T2,T3:三个节拍信号,高电平有效,由con区的uSTEP按键控制,在运行状态时,依次按下uSTEP 键会依次发出T1、T2、T3节拍。 FC,FZ,FS,I:进位标志FC、零标志FZ、正负标志FS,中断允许标志I (2)ALU功能表

计算机组成原理实验之运算器和存储器实验

实验一运算器实验 一、实验目的: 1.掌握运算器的组成及工作原理; 2.了解4位函数发生器74LS181的组合功能,熟悉运算器执行算术操作和逻辑操作的具体实现过程; 3.验证带进位控制的74LS181的功能。 二、实验设备: EL-JY-II型计算机组成原理实验系统一套,排线若干。 三、预习要求: 1复习本次实验所用的各种数字集成电路的性能及工作原理; 2预习实验步骤,了解实验中要求的注意之处。 四、实验原理: 运算器的结构框图如图1-1示。 图1-1 运算器的结构框图 算术逻辑单元ALU是运算器的核心。此处由四片74LS181(U7、U8、U9、U10)以并/串形式构成16位运算器。它可以对两个16位二进制数进行多种算术或逻辑运算,74LS181 有高电平和低电平两种工作方式,高电平方式采用原码输入输出,低电平方式采用反码输入输出,这里采用高电平方式。算术逻辑单元ALU是74LS181的功能

控制条件由S3、S2、S1、S0、 M、Cn决定。高电平方式的74LS181的功能、管脚分配和引出端功能符号详见表1-1、图1-2和表1-2。 四片74LS273(U3、U4、U5、U6)构成两个16位数据暂存器,其控制信号分别为LDR1和LDR2,当LDR1和LDR2 为高电平有效时,在T4脉冲的前沿,总线上的数据被送入暂存器保存。74LS273的管脚分配和引出端功能符号详见图1-3 两个三态门74LS244(U11、U12)作为运算器的输出缓冲器由ALU-G信号控制,ALU-G 为“0”时,三态门开通,此时其输出等于其输入;ALU-G 为“1”时,三态门关闭,此时其输出呈高阻。其管脚分配和引出端功能符号详见图1-4。 74LS181功能表见表1-1,其中符号“+”表示逻辑“或”运算,符号“*”表示逻辑“与”运算,符号“/”表示逻辑“非”运算,符号“加”表示算术加运算,符号“减”表示算术减运算。

计算机组成原理实验报告

实验1 通用寄存器实验 一、实验目的 1.熟悉通用寄存器的数据通路。 2.了解通用寄存器的构成和运用。 二、实验要求 掌握通用寄存器R3~R0的读写操作。 三、实验原理 实验中所用的通用寄存器数据通路如下图所示。由四片8位字长的74LS574组成R1 R0(CX)、R3 R2(DX)通用寄存器组。图中X2 X1 X0定义输出选通使能,SI、XP控制位为源选通控制。RWR为寄存器数据写入使能,DI、OP为目的寄存器写选通。DRCK信号为寄存器组打入脉冲,上升沿有效。准双向I/O输入输出端口用于置数操作,经2片74LS245三态门与数据总线相连。 图2-3-3 通用寄存器数据通路

四、实验内容 1. 实验连线 K23~K0置“1”,灭M23~M0控位显示灯。然后按下表要求“搭接”部件控制电路。 连线 信号孔 接入孔 作用 有效电平 1 DRCK CLOCK 单元手动实验状态的时钟来源 上升沿打入 2 X2 K10(M10) 源部件译码输入端X2 三八译码 八中选一 低电平有效 3 X1 K9(M9) 源部件译码输入端X1 4 X0 K8(M8) 源部件译码输入端X0 5 XP K7(M7) 源部件奇偶标志:0=偶寻址,1=奇寻址 6 SI K20(M20) 源寄存器地址:0=CX ,1=DX 7 RWR K18(M18) 通用寄存器写使能 低电平有效 8 DI K17(M17) 目标寄存器地址:0=CX ,1=DX 9 OP K16(M16) 目标部件奇偶标志:0=偶寻址,1=奇寻址 2. 寄存器的读写操作 ① 目的通路 当RWR=0时,由DI 、OP 编码产生目的寄存器地址,详见下表。 通用寄存器“手动/搭接”目的编码 目标使能 通用寄存器目的编址 功能说明 RW(K18) DI(K17) OP(K16) T 0 0 0 ↑ R0写 0 0 1 ↑ R1写 0 1 0 ↑ R2写 0 1 1 ↑ R3写 ② 通用寄存器的写入 通过“I/O 输入输出单元”向R0、R1寄存器分别置数11h 、22h ,操作步骤如下: 通过“I/O 输入输出单元”向R2、R3寄存器分别置数33h 、44h ,操作步骤如下: ③ 源通路 当X2~X0=001时,由SI 、XP 编码产生源寄存器,详见下表。 通用寄存器“手动/搭接”源编码 源使能 通用寄存器源编址 功能说明 K10 K9 K8 K20 K7 X2 X1 X0 SI XP 置数 I/O=XX33h 数据来源 I/O 单元 寄存器 R2=33h K10~K7=1000 按【单拍】按钮 置数 I/O=XX44h 寄存器 R3=44h 按【单拍】按钮 K18~K16=010 K18~K16=011 置数 I/O=XX11h 数据来源 I/O 单元 寄存器 R0=11h K10~K7=1000 按【单拍】按钮 置数 I/O=XX22h 寄存器 R1=22h 按【单拍】按钮 K18~K16=000 K18~K16=001

组成原理实验一寄存器实验

组成原理实验一寄存器实验组成原理实验一寄存器实验 一、实验目的 1.深入理解寄存器的工作原理; 2.掌握寄存器的使用方法; 3.学习通过寄存器实现数据的存储和传输。 二、实验设备 1.微处理器开发板; 2.示波器; 3.逻辑分析仪; 4.编程器。 三、实验原理 寄存器是计算机组成中的重要部件,主要用于暂时存储数据或指令。根据功能不同,寄存器可分为输入寄存器、输出寄存器、指令寄存器和数据寄存器等。在本实验中,我们将通过一个简单的四位寄存器来深入了解寄存器的工作原理。 四、实验步骤 1.按照实验要求准备实验设备,并将微处理器的所有引脚通过编程器设置成输 入或输出状态; 2.将四位寄存器的输入引脚连接到微处理器的四个输入引脚上,将输出引脚连 接到微处理器的四个输出引脚上; 3.将一个周期性的方波信号加到四位寄存器的时钟引脚上,同时使用示波器观 测输入引脚和输出引脚的波形; 4.改变四位寄存器的输入值,并观察输出值的变化情况; 5.重复步骤3和4,进一步验证四位寄存器的工作原理。 五、实验结果及分析

1.在时钟信号的上升沿到达时,四位寄存器的输入值会被锁存到寄存器中,并 在输出端显示出来。因此,通过改变输入值,就可以实现数据的存储和传 输; 2.在一个工作周期内,只有在时钟信号的上升沿到达时,输入值才会被锁存到 寄存器中。在其他时间,输入值的变化不会影响到寄存器中的值。因此,寄存器具有记忆功能。 六、实验总结 本次实验通过四位寄存器,让我们更深入地了解了寄存器的工作原理和使用方法。通过观测输入和输出波形的变化,我们验证了寄存器在数据存储和传输方面的重要作用。同时,我们也掌握了如何通过编程器设置微处理器的引脚状态以及如何使用示波器和逻辑分析仪观测和分析实验波形。本实验结果和预期相符,成功达到了教学目的。 七、思考题与实验改进意见 1.在本实验中,我们使用的寄存器是静态寄存器,也就是只有在时钟信号的上 升沿到达时才能进行数据的锁存。那么,如果使用动态寄存器,是否还能保证数据的稳定性和可靠性呢?请同学们课下自行查阅相关资料进行了解。 2.通过本实验,我们发现寄存器只能存储一个周期内的数据。那么,如果我们 需要存储一个序列的数据,应该如何实现呢?是否可以通过串联多个寄存器来实现?请同学们课下思考并尝试自行设计解决方案。 3.在本次实验中,我们使用了手动调节时钟信号的方式来模拟时钟周期。这种 方法并不精确,可能影响到实验结果。为了获得更精确的实验数据,我们可以考虑使用时钟发生器来产生精确的时钟信号。请同学们课下了解并学习如何使用时钟发生器。 通过本次实验,相信大家对寄存器的工作原理和使用方法有了更深入的理解。希望同学们在今后的学习和实践中能够灵活运用这些知识,提高自己的计算机组成原理水平。

计算机组成原理实验报告运算器组成存储器

计算机组成原理实验报告运算器组成存储器 RUSER redacted on the night of December 17,2020

计算机组成原理实验报告 一、实验1 Quartus Ⅱ的使用 一.实验目的 掌握Quartus Ⅱ的基本使用方法。 了解74138(3:8)译码器、74244、74273的功能。 利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。 二.实验任务 熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。 新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三种期间的功能。 三.74138、74244、74273的原理图与仿真图 1.74138的原理图与仿真图 74244的原理图与仿真图 1. 4.74273的原理图与仿真图、 实验2 运算器组成实验 一、实验目的 1.掌握算术逻辑运算单元(ALU)的工作原理。 2.熟悉简单运算器的数据传送通路。 3.验证4位运算器(74181)的组合功能。 4.按给定数据,完成几种指定的算术和逻辑运算。 二、实验电路 附录中的图示出了本实验所用的运算器数据通路图。8位字长的ALU由2片74181构成。2片74273构成两个操作数寄存器DR1和DR2,用来保存参与运算的数据。DR1接ALU的A数据输入端口,DR2接ALU的B数据输入端口,ALU的

数据输出通过三态门74244发送到数据总线BUS7-BUS0上。参与运算的数据可通过一个三态门74244输入到数据总线上,并可送到DR1或DR2暂存。 图中尾巴上带粗短线标记的信号都是控制信号。除了T4是脉冲信号外,其他均为电位信号。nC0,nALU-BUS,nSW-BUS均为低电平有效。 三、实验任务 按所示实验电路,输入原理图,建立.bdf文件。 四. 实验原理图及仿真图 给DR1存入01010101,给DR2存入10101010,然后利用ALU的直通功能,检查DR1、DR2中是否保存了所置的数。 其实验原理图如下: 波形图如下: 实验3 半导体存储器原理实验 (一)、实验目的 (1)熟悉静态随机存储器RAM和只读存储器ROM的工作特性和使用方法; (2)熟悉半导体存储器存储和读出数据的过程; (3)了解使用半导体存储器电路时的定时要求。 (二)、实验要求 利用Quartus Ⅱ器件库提供的参数化存储单元,设计一个由128X8位的RAM和128X8位的ROM构成的存储器系统。请设计有关逻辑电路,要求仿真通过,并设计波形文件,验证该存储器系统的存储与读出。 (三)、实验原理图与仿真图 ram内所存储的数据: rom内所存储的数据: 仿真图如下: (四)心得体会 本次试验中,我们应该熟练掌握Quartus Ⅱ软件的使用方法;熟悉静态随机存储器RAM和只读存储器ROM的工作特性和使用方法;熟悉半导体存储器存储和读出数据的过程;了解使用半导体存储器电路时的定时要求。并且制定实验方案然后进行实验验证。要学会将学到的知识运用到实际中。 四、实验4 数据通路的组成与故障分析实验 (一)、实验目的 (1)将运算器模块与存储器模块进行连接; (2)进一步熟悉计算机的数据通路; (3)炼分析问题与解决问题的能力,学会在出现故障的情况下,独立分析故障现象并排除故障。 (二)、实验电路 设计一实验电路图,把前面进行的运算器实验模块与存储器实验模块两部分电路连接在一起。RAM和ROM的输出都可以送至寄存器R1和R2作为运算器的输入,而运算器的结果可以送入R3暂存,然后送入RAM的指定单元。整个电路总线结构的形式自行设计。 (三)、实验原理图与仿真图 ram内所存储的数据:

计算机组成原理实验

实验一、算术逻辑运算器 1.实验目的与要求: 1.1 实验目的: 1)掌握算术逻辑运算器单元ALU(74LS181)的工作原理。 2)掌握简单运算器的数据传送通道。 3)演算由74LS181等组合逻辑电路组成的运算功能发生器运算功能。 4)能够按给定数据,完成实验指定的算术/逻辑运算。 1.2 实验要求: 1)总体设计: 1.两片74LS181(每片4位)以并/串联形式构成字长为8位的算术逻辑运算器ALU。2.数据寄存器DR1和DR2想运算器ALU提供运算的数据。 3.8位数据开关D7-D0用来输入参与运算的数据,并经过一个输入双向三态门与数据总线相连。 4.数据显示灯已与数据总线相连,用来显示数据总线上的内容。 2)算术逻辑运算器实验原理图,如下: 、两片74LS181以并\串联形式构成字长为8位的算术逻辑运算器ALU。左边的74LS181运算高四位数据,右边的74LS181运算低四位数据,两片181通过进位端进行连接。 、A0-A3是4位数据输入端A,B0-B3是4位数据输入端B。F0-F3是运算结果输出端。 、运算器ALU的输出经过一个输出双向三态门(74LS245)与数据总线相连。 、数据寄存器DR1和DR2向运算器ALU提供运算的数据。D7-D0是数据输入端,Q7-Q0是数据输出端。DR1数据分成两半,数据的高四位输入给左边74LS181的A端,数据的低四位输入给右边74LS181的A端。 、8位数据开关D7-D0用来输入参与运算的数据,并经过一个输入双向三态门(74LS245)与数据总线相连。 、数据显示灯已与数据总线相连用来显示数据总线上的内容。 、S3、S2、S1、S0、M、CN为ALU运算选择控制器,由它们共同决定运算器执行哪一种运算。 、ALU-B是输出三态门的控制端,控制运算器的运算结果是否送到数据总线上。低电平有效。 、SW-B是输入三态门的控制端,控制"INPUT DEVICE"中的8位数据开关D7-D0的数据是否送到数据总线BUS上。低电平有效。

合肥工业大学计算机组成原理实验报告

实验一存储器实验 一、实验目的 了解静态随机存取存贮器的工作原理;掌握读写存贮器的方法。 二、实验原理 实验仪的存贮器MEM单元选用一片静态存贮器6116(2K×8bit)存放程序和数据。 CE:片选信号线,低电平有效,实验仪已将该管脚接地。 OE:读信号线,低电平有效。 WE:写信号线,低电平有效。 A0..A10: 地址信号线。 I/O0..I/O7:数据信号线。

SRAM6116功能表 存贮器挂在CPU的总线上,CPU通过读写控制逻辑,控制MEM 的读写。实验中的读写控制逻辑如下图:

读写控制逻辑 M_nI/O用来选择对MEM还是I/O读写,M_nI/O = 1,选择存贮器MEM;M_nI/O = 0,选择I/O 设备。nRD = 0为读操作;nWR = 0为写操作。对MEM、I/O的写脉冲宽度与T2一致;读脉冲宽度与T2+T3一致,T2、T3由CON单元提供。 存贮器实验原理图 存贮器数据信号线与数据总线DBus相连;地址信号线与地址

总线ABus相连,6116的高三位地址A10..A8接地,所以其实际容量为256字节。 数据总线DBus、地址总线ABus、控制总线CBus与扩展区单元相连,扩展区单元的数码管、发光二极管上显示对应的数据。 IN单元通过一片74HC245(三态门),连接到内部数据总线iDBus上,分时提供地址、数据。MAR由锁存器(74HC574,锁存写入的地址数据)、三态门

(74HC245、控制锁存器中的地址数据是否输出到地址总线上)、8个发光二极管(显示锁存器中的地址数据)组成。 T2、T3由CON单元提供,按一次CON单元的uSTEP键,时序单元发出T1信号;按一次uSTEP 键,时序单元发出T2信号;按一次uSTEP键,时序单元发出T3信号;再按一次uSTEP键,时序单元又发出T1信号,…… 按一次STEP键,相当于按了

计算机组成原理实验指导书-CPTH

DJ-CPTH 计算机组成原理实验系统 实验指导 阜阳师范学院计算机与信息学院 2008年3月

目录 目录 (1) 实验一认识实验装置 (2) 实验二寄存器实验 (10) 实验三运算器实验 (18) 实验四数据输出和移位实验 (22) 实验五存储器实验 (26) 实验六uPC和PC 实验 (32) 实验七微程序存储器uM实验 (37) 实验八模型机综合实验一 (39) 实验九模型机综合实验二 (46) 实验十微程序设计实验 (55) 实验十一扩展实验 (60) 附录1:CPTH 集成开发环境使用 (63) 附录2:指令/微指令表(insfile1.mic) (68) 附录3:实验用芯片介绍 (79)

实验一认识实验装置 实验目的:了解实验仪的特点及组成;掌握实验仪键盘的使用。 实验器材:DJ-CPTH实验仪 实验要求: 1、认真填写预习报告,包括对实验仪器组成的理解、实验操作步骤等。 2、实验之后写出实验报告,包括实验过程中遇到的问题,解决方法,实验后的心得体会及对 该次实验的建议与意见。 实验原理及步骤: 一、DJ-CPTH特点 1、采用总线结构 总线结构的计算机具有结构清晰,扩展方便等优点。DJ-CPTH实验系统使用三组总线即地址总线ABUS、数据总线DBUS、指令总线IBUS和控制信号,CPU、主存、外设和管理单片机等部件之间通过外部数据总线传输,CPU内部则通过内部数据总线传输信息。各部件之间,通过三态缓冲器作接口连接,这样一方面增强总线驱动能力,另一方面在模型机停机时,三态门输出浮空,能保证不管模型机的CPU工作是否正常,管理单片机总能读/写主存或控存。 2、计算机功能模块化设计 DJ-CPTH为实验者提供运算器模块ALU,众多寄存器模块(A,W,IA ,ST,MAR,R0…R3等),程序计数器模块PC,指令部件模块IR,主存模块EM,微程序控制模块〈控存〉uM,微地址计数器模块UPC,组合逻辑控制模块及I/O等控制模块。各模块间的电源线、地线、地址总线和数据总线等已分别连通,模块内各芯片间数据通路也已连好,各模块的控制信号及必要的输出信号已被引出到主板插孔,供实验者按自己的设计进行连接。 3、智能化控制 系统在单片机监控下,管理模型机运行和读写,当模型机停机时,实验者可通过系统键盘,读写主存或控存指定单元的内容,使模型机实现在线开发。模型机运行时,系统提供单步一条微指令(微单步)、单步一条机器指令(程单步),连续运行程序及无限止暂停等调试手段,能动态跟踪数据,流向、捕捉各种控制信息,实时反映模型机现场,使实验者及时了解程序和微程序设计的正确性,便以修改。 4、提供两种实验模式 ①手动运行“Hand……”:通过拨动开关和发光二极管二进制电平显示,支持最底层的手动操作方式的输入/输出和机器调试。 ②自动运行:通过系统键盘及液晶显示器或PC机,直接接输入或编译装载用户程序<机器码程序和微程序>,实现微程序控制运行,运用多种调试手段运行用户程序,使实验者对计算机组成原理一目了然。

计算机组成原理实验指导及答案.docx

计算机组成原理实验指导 实验一运算器实验 一、实验目的 1. 掌握简单运算器的数据传输方式。 2. 验证运算功能发生器(74LS1 81)及进位控制的组合功能。 二、实验要求 完成不带进位及带进位算术运算实验、逻辑运算实验,了解算术逻辑运算单元的运用0 三、实验原理 实验中所用的运算器数据通路如图7-1-1所示。其中运算器山两片74LS181以并/ 串形式构成8位字长的ALU 。运算器的输出经过一个三态|' J(74LS245)以8芯扁平线方式 和数据总线相连,运算器的2个数据输入端分别由二个锁存器(74LS273)锁存,锁存器的 输入亦以8芯扁平线方式与数据总线相连,数据开关(INPUT DEVICE)川來给出参与运算 的数据,经一三态f J(74LS245)以8芯扁平线方式和数据总线相连,数据显示灯(BUS UNIT) 已和数据总线相连,用來 显示数据总线内容。 图7-1-1中T2、T4为时序电路产生的节拍脉冲信号,通过连接吋序启停单元时钟信号 來获得,剩余均为电平控制信号。进行实验时,首先按动位于本实验装置右中侧 的复位按钮使系统进入初始待令状态,在LED 显示器闪动位岀现“P.” 的状态下,按【增 进! 二 I 制' 开' 关• 单' 元I

址】命令键使LED 显示器口左向右第4位切换到提示符“L” ,表示本装置已进入手动单 元实验状态,在该状态卜•按动【单步】命令键,即可获得实验所需的单脉冲信号,而LDDR1、 LDDR2、 ALU-B 、SW-B 、S3、S2、S1、SO 、CN 、M 各电平控制信号用位于LED 显示 器上方的26位 二进制开关來模拟,均为高电平有效。 四、实验连线 両时序启停 JUUT O O 图7-1-2实验连线示意图 按图7-1-2所示,连接实验电路: ① 总线接口连接:用8芯扁平线连接图7-1-2屮所有标明“U 帕”或“目儷”图 案的总线接口。 ② 控制线与时钟信号“皿1”连接:用双头实验导线连接图7-1-2中所侑标明“O+C” O 或“受”图案的插孔(注:Dais-CMH 的吋钟信号已作内部连接)。 .0 五、实验系统工作状态设定 在闪动的“P.”状态下按动【增址】命令键,使LED 显示器口左向右第4位显示提示 符“L”,表示本装置已进入手动单元实验状态。 在“L”状态下,如图7-1-3所示系统用位于实验系统“矽二进制开关单元”的26 T1T2T3T4 o o o ORI D7 ............... D0

相关主题
文本预览
相关文档 最新文档