当前位置:文档之家› 计算机组成原理实验报告

计算机组成原理实验报告

实验1 通用寄存器实验

一、实验目的

1.熟悉通用寄存器的数据通路。

2.了解通用寄存器的构成和运用.

二、实验要求

掌握通用寄存器R3~R0的读写操作.

三、实验原理

实验中所用的通用寄存器数据通路如下图所示。由四片8位字长的74LS574组成R1 R0(CX)、R3 R2(DX)通用寄存器组。图中X2 X1 X0定义输出选通使能,SI、XP控制位为源选通控制。RWR为寄存器数据写入使能,DI、OP为目的寄存器写选通。DRCK信号为寄存器组打入脉冲,上升沿有效.准双向I/O输入输出端口用于置数操作,经2片74LS245三态门与数据总线相连。

图2—3-3 通用寄存器数据通路

四、实验内容

1.实验连线

连线信号孔接入孔作用有效电平

2.寄存器的读写操作

①目的通路

当RWR=0时,由DI、OP编码产生目的寄存器地址,详见下表.

通用寄存器“手动/搭接”目的编码

②通用寄存器的写入

通过“I/O输入输出单元”向R0、R1寄存器分别置数11h、22h,操作步骤如下:

通过“I/O输入输出单元”向R2、R3寄存器分别置数33h、44h,操作步骤如下:

③源通路

当X2~X0=001时,由SI、XP编码产生源寄存器,详见下表.

通用寄存器“手动/搭接”源编码

④ 通用寄存器的读出

关闭写使能,令K18(RWR )=1,按下流程分别读R0、R1、R2、R3。

五、实验心得

通过这个实验让我清晰的了解了通用寄存器的构成以及通用寄存器是如何运用的,并且熟悉了通用寄存器的数据通路,而且还深刻的掌握了通用寄存器R3~R0的读写操作。

实验2 运算器实验

一、实验目的

掌握八位运算器的数据传输格式,验证运算功能发生器及进位控制的组合功能.

二、实验要求

完成算术、逻辑、移位运算实验,熟悉ALU 运算控制位的运用.

三、实验原理

实验中所用的运算器数据通路如图2-3—

1所示。ALU 运算器由CPLD 描述。运算器的输出FUN 经过74LS245三态门与数据总线相连,运算源寄存器A 和暂存器B 的数据输入端分别由2个74LS574锁存器锁存,锁存器的输入端与数据总线相连,准双向I/O 输入输出端口用来给出参与运算的数据,经2片74LS245三态门与数据总线相连。

图2—3—1运算器数据通路

图中AWR、BWR在“搭接态”由实验连接对应的二进制开关控制,“0”有效,通过【单拍】按钮产生的脉冲把总线上的数据打入,实现运算源寄存器A、暂存器B的写入操作。

四、实验内容

1。运算器功能编码

表2。3.1 ALU运算器编码表

算术运算逻辑运算

K15 K13 K12 K11

功能K15 K13 K12 K11

功能

M S2 S1 S0 M S2 S1 S0

0 0 0 0 A+B+C 1 0 0 0 B

0 0 0 1 A—B—C 1 0 0 1 /A

0 0 1 0 RLC 1 0 1 0 A—1

0 0 1 1 RRC 1 0 1 1 A=0

0 1 0 0 A+B 1 1 0 0 A#B

0 1 0 1 A—B 1 1 0 1 A&B

0 1 1 0 RL 1 1 1 0 A+1

0 1 1 1 RR 1 1 1 1 A

2.实验连线

K23~K0置“1”,灭M23~M0控位显示灯.然后按下表要求“搭接”部件控制电路。

表2。3.2 运算实验电路搭接表

连线信号孔接入孔作用有效电平

1 DRCK CLOCK 单元手动实验状态的时钟源上升沿打入

2 X2

K10

(M10)

源部件译码输入端X2

三八译码

八中选一

低电平有效

3 X1 K9(M9)源部件译码输入端X1

示例1 算术运算

1.

运算源寄存器写流程

通过I/O 单元“S7~S0”开关向累加器A 和暂存器B 置数,具体操作步骤如下:

2. 运算源寄存器读流程

关闭A 、B 写使能,令K18=K17=“1”,按下流程分别读A 、B 。

3. 加法与减法运算

令M S2 S1 S0(K15 K13~K11=0100),为算术加,FUN 及总线单元显示A+B 的结果 令M S2 S1 S0(K15 K13~K11=0101),为算术减,FUN 及总线单元显示A -B 的结果.

示例2 逻辑运算

1. 运算源寄存器写流程

通过“I/O 输入输出单元”开关向寄存器A 和B 置数,具体操作步骤如下:

2. 运算源寄存器读流程

关闭A 、B 写使能,令K17= K18=1,按下流程分别读A 、B.

① 若运算控制位设为(M S2 S1 S0=1111)则F=A ,即A 内容送到数据总线。 ② 若运算控制位设为(M S2 S1 S0=1000)则F=B ,即B 内容送到数据总线。 3. 逻辑运算

令M S2 S1 S0(K15 K13~K11=1101),为逻辑与,FUN及总线显示A逻辑与B的结果.

令M S2 S1 S0(K15 K13~K11=1100),为逻辑或,FUN及总线显示A逻辑或B的结果。

示例3 移位运算

(1)A寄存器置数

拨动“I/O输入输出单元”开关向移位源寄存器A置数,具体操作步骤如下:

(2)A寄存器移位流程

完成上流程的操作后,按表2。3。3改变K13、K11的状态,再按动【单拍】钮,观察A的变化。

①当K13 K11=11,每按一次【单拍】钮,A右移一位。

②当K13 K11=10,每按一次【单拍】钮,A左移一位。

③当K13 K11=01,每按一次【单拍】钮,A带进位右移一位。

④当K13 K11=00,每按一次【单拍】钮,A带进位左移一位。

实验思考:

验证表2。3 .1 ALU运算器编码表所列的运算功能。

在给定A=55h、B=77h的情况下,K23~K0置“1”,改变运算器的功能设置位M S2 S1 S0(K15 K13~K11),观察运算器的输出,填入下页表格中,并和理论分析进行比较、验证。

表2。3.4ALU运算器真值表

A取反NOT A 1 0 0 1 55 77 FUN=( AA )

A减1 A-1 1 0 1 0 55 77 FUN=( 54 )

清零0 1 0 1 1 FUN=( 00 )

逻辑或 A OR B 1 1 0 0 FUN=( 77 )

逻辑与 A AND B 1 1 0 1 FUN=( 55 )

A加1 A+1 1 1 1 0 FUN=(56 )

取A值 A 1 1 1 1 FUN=( 55 )

五、实验心得

这个实现让我掌握了八位运算器的数据传输格式,并且验证运算功能发生器及进位控制的组合功能,顺利的完成了算术、逻辑、移位运算的实验,熟悉了ALU运算控制位的运用.

实验3 准双向I/O口实验

一、实验目的

熟悉与了解准双向I/O口的构成原理。

二、实验要求

掌握准双向I/O口的输入/输出特性的运用。

三、实验原理

Dais-CMX08+向用户提供的是按准双向原理设计的十六位输入/输出I/O口,当该位为“1"时才能用作输入源,上电或复位(手动态按【返回】键),该十六位I/O口被置位(即为“0FFFFh”).通常情况下,在用作输入的时候就不能再有输出定义。电路结构如图2-3—4所示.该口外接十六位二进制数据开关,适用于外部数据的输入,该口跨接十六个发光二极管和经缓冲驱动的四个七段显示,能以二进制和十六进制两种方式显示I/O口的输入输出状态。发光管在高电平“1”时发光点亮。

图2—3-4 准双向I/O电路

实验中所用的I/O口数据通路如图2—3—5所示。I/O的输入经2片74LS245缓冲与数据总线相连,I/O口的输出由2片74LS574锁存后输出,锁存器的输入端与数据总线相连.

图2—3—5 十六位I/O的数据通路

四、实验内容

1.实验连线

连线信号孔接入孔作用有效电平

1 IOCK CLOCK 单元手动实验状态的时钟来源上升沿打入

2 X2

K10

(M10)

源部件译码输入端X2 三八译码

八中选一

低电平有效

3 X1 K9(M9)源部件译码输入端X1

4 X0 K8(M8)源部件译码输入端X0

5 XP K7(M7)源部件奇偶标志:0=偶寻址,1=奇寻址

6 IOW K17(M17) I/O输出使能,本例定义到M17位低电平有效

7 OP K16(M16) 目标部件奇偶标志:0=偶寻址,1=奇寻址

2.搭接方式I/O的寻址定义

I/O口源编址I/O口目的编址

源编码I/O编址

注释目的I/O编址

注释

K10 K9 K8 K7 K17 K16 X2 X1 X0 XP IOW OP

1 0 0 0 S7~S0读

0 S7~S0写

1 S15~S8读 1 S15~S0写

3.I/O

4.I/O口偶送奇(S7~S0送S15~S8)置数

S15~S8=66

数据来源S15~S8

写数据

S7~S0=66

I/O开关

S15~S0置1

五、实验心得

通过这个实现让我彻底的掌握了准双向I/O 口的输入/输出特性的运用,并且熟悉与了解了准双向I/O 口的构成原理.

实验4 地址总线组成实验

一、实验目旳

1. 熟悉和了解地址总线的组成结构、地址来源及集合原理。

2. 掌握程序段与数据段的寻址规则及地址部件的运用技巧。

二、实验要求

通过地址形成部件实验,建立“段”概念,学会“段”运用.

三、实验原理

地址总线的作用是传递地址信息,输出当前数据总线上发送信息的源地址或接收信息的目的地址。如下图所示本系统设有程序与数据两条地址总线,通过PC 计数器提供程序存储器地址,并由地址寄存器AR 传递数据存储器地址及外设地址,通过指令总线送AR 形成指令定义的内存地址。

图2-3—6 地址总线组成通路

1. 8位内存地址Addr

如图2—3-6所示,本系统从提高信息存取效率的角度设计存储器地址通路,按现代计算机体系结构中最为典型的分段存取理念合成存储器地址总线addr ,在指令操作“时段”(取操作码与取操作数),以当前程序指针PC 为址;遇数据与内存传递“时段”,以当前数据指针AR 为址。addr 地址的合成通路见图2—3-6。其寻址范围为0~0FFh 。

2. 8位外设地址Address

置数 S7~S0=99 数据来源 S7~S0

写数据 S15~S8=99 K17~K16=01

I/O 开关 S15~S0置1

如图2—3—6所示,本系统外设地址总线Address由地址锁存器AR直接提供,在P4页图1—5-1所示的XRD、XWR、ALE等外设控制信号的管理下,通过外DBUG数据总线完成外设信息由内到外或由外到内的传递.其寻址范围为0~0FF,可达256字节。

四、实验内容

1.程序计数器实验

图2—3-7所示的PC指针由2片161组成八位程序计数器,计数器的输入端与总线相连构成PC装载通路,计数器的输出端途经三态门缓冲分离为两条通路,其一与总线相连构成程序指针访问通路,其二与地址寄存器AR集合组成存储器地址总线。它的清零端由中央外理器单元直控,上电时PC计数器自动清零,在手动实验中,按【返回】键亦可实现计数器的强制清零.

手控“搭接”状态,本实验由下表定义PC计数器的预置与加1操作,实验中以准双向I/O部件的S7~S0为计数器预置源。当IP=0时按单拍按钮,遇E/M=“0”,在脉冲下降沿把S7~S0的内容装入PC计数器;遇E/M=“1”,在脉冲下降沿完成PC计数器加1操作。

PC读出由P8页表2.2源编码表定义,在X2~X0(K10~K8=000)时,当前PC送总线。

2.实验连线

2)程序计数器PC的写入、读出与加1

通过“I/O单元"开关向程序计数器PC置数,按【单拍】按钮完成PC指针的装载;然后K23、K22置“1”,令K10~K8为“0”,执行PC读操作。再令K23 K22=“10”,实现PC+1

保持PC+1状态,按【单拍】按钮,在CLOCK的上升沿PC加1并送数据总线,程序计数器和总线单元显示12h.继续按【单拍】按钮,观察PC与总线内容的变化。

3、地址寄存器实验

图2—3—7所示的AR 由1片74LS574锁存器构成八位数据指针,锁存器的输入端与总线相连构成置数通路,锁存器的输出端途经三态门缓冲分离与PC 计数器集合组成存储器地址总线及外设总线。由中央外理器单元控制锁存器的清零,在手动实验中,按【返回】键即可实现AR 锁存器的强制清零.

1)地址寄存器AR 打入

在手控“搭接”态,数据指针AR 由LDAR 及DRCK (CLOCK 脉冲)二信号组合控制地址的装入操作.本实验以总线上准双向I/O 部件的S7~S0为置数源。当K19=0时,按【单拍】钮,在脉冲下降沿把S7~S0的内容装入地址锁存器AR 。操作步骤如下:

五、实验心得

本次实现我熟悉和了解了地址总线的组成结构、地址来源及集合原理,学习到了程

序段与数据段的寻址规则以及地址部件的运用技巧,通过地址如何形成部件实验,建立“段”概念,学会“段”运用.

实验5 存储器读写实验

一、实验目的

熟悉和了解存储器组织与总线组成的数据通路。

二、实验要求

按照实验步骤完成实验项目,掌握存储部件在原理计算机中的运用。

三、实验原理

存储器是计算机的存储部件,用于存放程序和数据。存储器是计算机信息存储的核心,是计算机必不可少的部件之一,计算机就是按存放在存储器中的程序自动有序不间断地进行工作。

本系统从提高存储器存储信息效率的角度设计数据通路,按现代计算机中最为典型的分段存储理念把存储器组织划分为程序段、数据段、内存或堆栈段,由此派生了数据总线(DBus )、指令总线(IBus )、微总线(μBus)等与现代计算机设计规范相吻合的实验环境.

实验所用的存储器电路原理如图2-3—8所示,该存储器组织由一片6116构成具有段概念的信息存储体系,该存储体系Addr 由IP 指针和AR 指针分时提供,E/M 控位为“1”时选通IP ,反之选通AR 。该存储器可动态变更程序源与数据源,把我们的教学实验提高到能与现代计算机设计规范相匹配与接轨的层面。

K10~K7=1000 K23 K22 K19=110 K10~K8=011 K23 K19=01

图2-3—8 存储器数据通路

四、实验内容

1. 实验连线

连线 信号孔 接入孔 作用

有效电平 1 MOCK CLOCK 单元手动实验状态的时钟来源 上升沿打入 2 X2 K10(M10) 源部件译码输入端X2 三八译码 八中选一 低电平有效

3 X1 K9(M9) 源部件译码输入端X1

4 X0 K8(M8) 源部件译码输入端X0

5 XP K7(M7)

I/O 部件奇偶标志:0=偶寻址,1=奇寻址

6 E/M K23(M23) Addr 地址段定义:0=AR 指针,1=PC 指针

7 MWR K21(M21) 存储器写使能:0=存储器写,1=存储器读

8 LDAR K19(M19) AR 地址寄存器写使能 低电平有效 9

LDPC

K22(M22)

PC 装载与PC+1

低电平有效

2. 存储器数据段读写操作

(1) 数据段写操作

按下流程从0址单元开始,向数据段00h ~05h 单元依次写入11 22 33 44 55 66。

(2) 数据段读操作

依次读出数据段00~05h 单元的内容,这里以0址单元读出为例阐述操作流程。

执行上述流程总线单元应显示11h ,若正确可按上述流程读出01h ~05h 单元的内容。 3. 存储器程序段读写操作 (1) 程序段写操作

① PC 装载写操作流程:

置地址 S7~S0=00 数据来源 I/O

关存储器写 AR →Addr 打地址 00→AR

读数据 [00]→总线 K23 K19=01

置地址 S7~S0=00h 打地址 00→AR

按【单拍】按钮

置数据 S7~S0=11h 写数据 11→[00] 按【单拍】按钮

K19=1 K23=0

关存储器写 令K21=1

②PC+1写操作流程:

重复PC+1写流程,分别对02~04写入23 45 67。

(2)程序段读操作

PC装载及PC+1读操作流程

K23~K21=101

完成上流程,PC=01,总线单元显示AA,此时每按一次【单拍】按钮,PC+1,总线单元依次显示23 45 67……等内容.

4.程序与数据存储器的分段读出

K10~K8=011

由上流程可知存储器组织0地址的内容有两个,其中55为程序段内容,11为数据段内容。

五、实验心得

这次实验让我熟悉和了解了存储器组织与总线组成的数据通路,并且按照实验步骤完成了实验项目,掌握存储部件在原理计算机中的运用。

通过这几次实验也让我知道了合作的重要性,没有团队的合作,我也不可能那么顺利的完成所有实验。

组成原理实验报告

计算机组成原理实验报告 实验一 运算器实验 一.实验目的 1、掌握简单运算器的数据传输方式; 2、验证运算功能发生器(74LS181)及进位控制的组合功能; 二.实验要求 完成不带进位及带进位算术运算实验、逻辑运算实验,了解算术运算单元的运用。 三.实验设备 计算机组成原理实验箱 四.实验步骤 1.算术运算实验 打开实验仪电源,按增址键,调到“L ”工作状态下。 SW_B=0,CE=0,LDDR1=0,LDDR2=0,CBA=010,置M 、S0、S1、S2、S3为11111,在按单步键,数据总线单元显示DR1的内容即:65;若把M 、S0、S1、S2、S3置为10101,在按单步键,数据总线显示DR2的内容,即:A7; 进行算术运算: 置CBA=010,CN 、M 、S0、S1、S2、S3状态为101001,按单步键,此时数据单元显示:0CH ,CY 不亮,进位舍弃。 和预测相同,为不进位算术运算。 2.进位控制实验实验 “L ”状态下即手动单元实验状态,按复位键,然后进如下操作: 数据开关 01100101 三态门 CBA=000 CE=0 SW_B=1 寄存器DR1 01100101 LDDR1=1 LDDR2=0 按单步键 数据开关 10100111 寄存器DR2 10100111 LDDR1=0 LDDR2=1 按单步键 数据开关 01010101 三态门 CBA=000 寄存器DR1 01010101 LDDR1=1 数据开关 10101010 寄存器DR2 10101010 LDDR1=0 LDDR2=1

然后置SW_B=0,CE=0,CBA=010,AR=1,CN 、M 、S0、S1、S2、S3的关态为101001,按单步键,肯数数据总线显示的数据为DR1加DR2,即:FF ,且CY 不‘亮’,表示无进位,和预测结果相同。 置CBA=010 2.逻辑运算实验 置CBA=010,M 、S0、S1、S2、S3状态为11000,按单步键,此时LED 显示:18H.与预测值相同。 五、实验结论 经过实验证明,输出的结果与理论值一致。 (1)一进制开关单元键有效时的状态。置'1',即高电平有效。 (2)做算术运算和逻辑运算时应设以下各控制端: CBA SW-B S3 S2 S1 S0 M CN DR1 DR2 CE AR (3)S3,S2,S1,S0是运算选择控制端,有它们决定运算器执行哪一种运 算;M 是算术逻辑运算选择,M=0时,执行算术运算,M=1时,执行逻辑运算;CN 是算术运算的进位控制端,CN=1(高电平),表示有进位,运算时相当于在最低位上加进位1,CN=0(低电平),表示无进位。逻辑运算与进位无关;CBA 是输出三态门控制端,控制运算器的运算结果是否送到数据总线BUS 上。SW-B 是输入三态门的控制端,控制“INPUT DEVICE ”中的8位数据开关D7~D0的数据是否送到数据总线BUS 上。高电平有效。 (5) DR1、DR2置数完成后之所以要关闭控制端LDDR1、LDDR2是为了确 保输入数据不会丢失。 (6)CN 进位控制端与算术运算有关 (7) 进位CN 与减法运算有关,CN 是0 数据开关 01100101 三态门 CBA=000 CE=0 SW_B=1 寄存器DR1 01100101 LDDR1=1 LDDR2=0 按单步键 数据开关 10100111 寄存器DR2 10100111 LDDR1=0 LDDR2=1 按单步键

计算机组成原理 实验报告

计算机组成原理实验报告

实验一基本运算器实验 一、实验目的 1.了解运算器的组成结构 2.掌握运算器的工作原理 3.深刻理解运算器的控制信号 二、实验设备 PC机一台、TD-CMA实验系统一套 三、实验原理 1.(思考题)运算器的组成包括算数逻辑运算单元ALU(Arithmetic and Logic Unit)、浮点运算单元FPU(Floating Point Unit)、通用寄存器组、专用寄存器组。 ①算术逻辑运算单元ALU(Arithmetic and Logic Unit) ALU主要完成对二进制数据的定点算术运算(加减乘除)、逻辑运算(与或非异或)以及移位操作。在某些CPU中还有专门用于处理移位操作的移位器。 通常ALU由两个输入端和一个输出端。整数单元有时也称为IEU(Integer Execution Unit)。我们通常所说的“CPU是XX位的”就是指ALU所能处理的数据的位数。 ②浮点运算单元FPU(Floating Point Unit) FPU主要负责浮点运算和高精度整数运算。有些FPU还具有向量运算的功能,另外一些则有专门的向量处理单元。 ③通用寄存器组 通用寄存器组是一组最快的存储器,用来保存参加运算的操作数和中间结果。 ④专用寄存器 专用寄存器通常是一些状态寄存器,不能通过程序改变,由CPU自己控制,表明某种状态。 而运算器内部有三个独立运算部件,分别为算术、逻辑和移位运算部件,逻辑运算部件由逻辑门构成,而后面又有专门的算术运算部件设计实验。 下图为运算器内部原理构造图

2.运算器的控制信号 实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的T1、T2、T3、T4,CLR都连接至CON单元的CLR 按钮。T4由时序单元的TS4提供(脉冲信号),其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。 下图为ALU和外围电路的连接。图中的小方框代表排针座。

计算机组成原理实验报告

实验1 通用寄存器实验 一、实验目的 1.熟悉通用寄存器的数据通路。 2.了解通用寄存器的构成和运用。 二、实验要求 掌握通用寄存器R3~R0的读写操作。 三、实验原理 实验中所用的通用寄存器数据通路如下图所示。由四片8位字长的74LS574组成R1 R0(CX)、R3 R2(DX)通用寄存器组。图中X2 X1 X0定义输出选通使能,SI、XP控制位为源选通控制。RWR为寄存器数据写入使能,DI、OP为目的寄存器写选通。DRCK信号为寄存器组打入脉冲,上升沿有效。准双向I/O输入输出端口用于置数操作,经2片74LS245三态门与数据总线相连。 图2-3-3 通用寄存器数据通路

四、实验内容 1. 实验连线 K23~K0置“1”,灭M23~M0控位显示灯。然后按下表要求“搭接”部件控制电路。 连线 信号孔 接入孔 作用 有效电平 1 DRCK CLOCK 单元手动实验状态的时钟来源 上升沿打入 2 X2 K10(M10) 源部件译码输入端X2 三八译码 八中选一 低电平有效 3 X1 K9(M9) 源部件译码输入端X1 4 X0 K8(M8) 源部件译码输入端X0 5 XP K7(M7) 源部件奇偶标志:0=偶寻址,1=奇寻址 6 SI K20(M20) 源寄存器地址:0=CX ,1=DX 7 RWR K18(M18) 通用寄存器写使能 低电平有效 8 DI K17(M17) 目标寄存器地址:0=CX ,1=DX 9 OP K16(M16) 目标部件奇偶标志:0=偶寻址,1=奇寻址 2. 寄存器的读写操作 ① 目的通路 当RWR=0时,由DI 、OP 编码产生目的寄存器地址,详见下表。 通用寄存器“手动/搭接”目的编码 目标使能 通用寄存器目的编址 功能说明 RW(K18) DI(K17) OP(K16) T 0 0 0 ↑ R0写 0 0 1 ↑ R1写 0 1 0 ↑ R2写 0 1 1 ↑ R3写 ② 通用寄存器的写入 通过“I/O 输入输出单元”向R0、R1寄存器分别置数11h 、22h ,操作步骤如下: 通过“I/O 输入输出单元”向R2、R3寄存器分别置数33h 、44h ,操作步骤如下: ③ 源通路 当X2~X0=001时,由SI 、XP 编码产生源寄存器,详见下表。 通用寄存器“手动/搭接”源编码 源使能 通用寄存器源编址 功能说明 K10 K9 K8 K20 K7 X2 X1 X0 SI XP 置数 I/O=XX33h 数据来源 I/O 单元 寄存器 R2=33h K10~K7=1000 按【单拍】按钮 置数 I/O=XX44h 寄存器 R3=44h 按【单拍】按钮 K18~K16=010 K18~K16=011 置数 I/O=XX11h 数据来源 I/O 单元 寄存器 R0=11h K10~K7=1000 按【单拍】按钮 置数 I/O=XX22h 寄存器 R1=22h 按【单拍】按钮 K18~K16=000 K18~K16=001

计算机组成原理--实验报告

实验一寄存器实验 实验目的:了解模型机中各种寄存器结构、工作原理及其控制方法。 实验要求:利用CPTH 实验仪上的K16..K23 开关做为DBUS 的数据,其它开关做为控制信号,将数据写入寄存器,这些寄存器包括累加器A,工作寄存器W,数据寄存器组R0..R3,地址寄存器MAR,堆栈寄存器ST,输出寄存器OUT。 实验电路:寄存器的作用是用于保存数据的CPTH 用74HC574 来构成寄存器。74HC574 的功能如下: --

实验1:A,W 寄存器实验 原理图 寄存器A原理图 寄存器W 原理图 连接线表: --

-- 系统清零和手动状态设定:K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入"Hand......"手动状态。 在后面实验中实验模式为手动的操作方法不再详述. 将55H 写入A 寄存器 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据 55H 置控制信号为: 按住STEP 脉冲键,CK 由高变低,这时寄存器A 的黄色选择指示灯亮,表明选择A 寄存器。放开STEP 键,CK 由低变高,产生一个上升沿,数据55H 被写入A 寄存器。 将66H 写入W 寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据 66H 按住STEP脉冲键,CK由高变低,这时寄存器W 的黄色选择指示灯亮,表明选择W寄存器。放开STEP 键,CK 由低变高,产生一个上升沿,数据66H 被写入W 寄存器。 注意观察: 1.数据是在放开STEP键后改变的,也就是CK的上升沿数据被打入。 2.WEN,AEN为高时,即使CK有上升沿,寄存器的数据也不会改变。 实验2:R0,R1,R2,R3 寄存器实验 连接线表 --

计算机组成原理实验报告精品9篇

计算机组成原理实验报告 课程名称计算机组成原理实验 学院计算机 专业班级 学号 学生姓名 指导教师 20年月日

实验一:基础汇编语言程序设计实验 1实验目的 ●学习和了解TEC-XP+教学实验监控命令的用法; ●学习和了解TEC-XP+教学实验系统的指令系统; ●学习简单的TEC-XP+教学实验系统汇编程序设计。 2实验设备及器材 ●工作良好的PC机; ●TEC-XP+教学实验系统和仿真终端软件PCEC。 3实验说明和原理 实验原理在于汇编语言能够直接控制底层硬件的状态,通过简单的汇编指令查看、显示、修改寄存器、存储器等硬件内容。 实验箱正如一集成的开发板,而我们正是通过基础的汇编语言对开发板进行使用和学习,过程中我们不仅需要运用汇编语言的知识,还需要结合数字逻辑中所学的关于存储器、触发器等基本器件的原理,通过串口通讯,实现程序的烧录,实验箱与PC端的通讯。 4实验内容 1)学习联机使用TEC-XP+教学实验系统和仿真终端软件PCEC; 2)学习使用WINDOWS界面的串口通讯软件; 3)使用监控程序的R命令显示/修改寄存器内容、D命令显示存储内容、E命令 修改存储内容; 4)使用A命令写一小段汇编程序,U命令反汇编输入的程序,用G命令连续 运行该程序,用T、P命令单步运行并观察程序单步执行情况。

5实验步骤 1)准备一台串口工作良好的PC机器; 2)将TEC-XP+放在实验台上,打开实验箱的盖子,确定电源处于断开状态; 3)将黑色的电源线一段接220V交流电源,另一端插在TEC-XP+实验箱的电源 插座里; 4)取出通讯线,将通讯线的9芯插头接在TEC-XP+实验箱上的串口"COM1"或 "COM2"上,另一端接到PC机的串口上; 5)将TEC-XP+实验系统左下方的六个黑色的控制机器运行状态的开关置于正 确的位置,再找个实验中开关应置为001100(连续、内存读指令、组合逻辑、联机、16位、MACH), 6)控制开关的功能在开关上、下方有标识;开关拨向上方表示"1",拨向下方表示 "0","X"表示任意,其他实验相同; 7)打开电源,船型开关盒5V电源指示灯亮; 8)在PC机上运行PCEC16.EXE文件,根据连接的PC机的串口设置所用PC机 的串口为"1"或"2",其他的设置一般不用改动,直接回车即可; (8)按一下"RESET"按键,再按一下"START"按键,主机上显示: 6实验截图及思考题 【例3】计算1到10的累加和。

计算机组成原理实验报告

计算机组成原理实验报告 实验一静态随机存取存贮器实验 一.实验目的 介绍静态随机存取存贮器的工作原理;掌控读取存贮器的方法。 二.实验内容 实验仪的存贮器mem单元采用一片静态存贮器6116(2k×8bit)存放程序和数据。 ce:片选信号线,低电平有效,实验仪已将该管脚接地。oe:读信号线,低电平有效。we:写信号线,低电平有效。a0..a10:地址信号线。i/o0..i/o7:数据信号线。 ceoewe功能1××不选上6116001读010写下000不确认sram6116功能表 存贮器挂在cpu的总线上,cpu通过读写控制逻辑,控制mem的读写。实验中的读写 控制逻辑如下图: 读取掌控逻辑 m_ni/o用来选择对mem还是i/o读写,m_ni/o=1,选择存贮器mem;m_ni/o=0,选择 i/o设备。nrd=0为读操作;nwr=0为写操作。对mem、i/o的写脉冲宽度与t2一致;读脉冲宽度与t2+t3一致,t2、t3由con单元提供。 存贮器实验原理图 存贮器数据信号线与数据总线dbus相连;地址信号线与地址总线abus相连,6116的高三位地址a10..a8接地,所以其实际容量为256字节。 数据总线dbus、地址总线abus、掌控总线cbus与拓展区单元相连,拓展区单元的数 码管、发光二极管上表明对应的数据。 in单元通过一片74hc245(三态门),连接到内部数据总线idbus上,分时提供地址、 数据。mar由锁存器(74hc574,锁存写入的地址数据)、三态门(74hc245、控制锁存器 中的地址数据是否输出到地址总线上)、8个发光二极管(显示锁存器中的地址数据)组成。 t2、t3由con单元提供更多,按一次con单元的ustep键,时序单元收到t1信号; 按一次ustep键,时序单元收到t2信号;按一次ustep键,时序单元收到t3信号;再按 一次ustep键,时序单元又收到t1信号,……

计算机组成原理的实验报告

计算机组成原理的实验报告计算机组成原理的实验报告 程序控制器实验 一、实验目的: (1) 理解时序产生器的原理,了解时钟和时序信号的波形。 (2) 掌握微程序控制器的功能、组成知识。 (3) 掌握微指令格式和各字段功能。 (4) 掌握微指令的编制、写入、观察微程序的运行 二、实验设备 PC机一台,TD―CM3+实验系统一套。 三、实验内容及要求: (一)实验原理: 微程序控制电路与微指令格式 (A) 微程序控制电路 微程序控制器的组成见图10,其中控制存储器采用3片2816的EPROM,具有掉电保 2 护功能,微命令寄存器18位,用两片8D触发器(74273)和一片4D(74175)触发器组成。微地址寄存器6位,用三片正沿触发的双D触发器(7474)组成,它们带有清“0”端和预置端。在不判别测试的情况下,T2时刻打入微地址寄存器的内容即为下一条微指令地址。当T4时刻进行测试判别时,转移逻辑满足条件后输出的负脉冲通过强置端将某一触发器置为“1”状态,完成地址修改。 在该实验电路中设有一个编程开关(位于实验板右上方),它具有三种状态:PROM (编程)、READ(校验)、RUN(运行)。当处于“编程状态”时,实验者可根据微地址和微指令格式将微指令二进制代码写入到控制存储器2816中。当处于“校验状态”时,可以对写入控制存储器中的二进制代码进行验证,从而可以判断写入的二进制代码是否正确。当处于“运行状态”时,只要给出微程序的入口微地址,则可根据微程序流程图自动执行微程序。图中微地址寄存器输出端增加了一组三态门,目的是隔离触发器的输出,增加抗干扰能力,并用来驱动微地址显示灯。 微程序控制器原理图图10

计算机组成原理实验报告

实验一:数字逻辑——交通灯系统设计子实验1: 7 段数码管驱动电路设计 (1)理解利用真值表的方式设计电路的原理; (2)利用Logisim 真值表自动生成电路的功能,设计一个 7 段数码管显示驱动。 二、实验方案设计 7 段数码管显示驱动的设计方案: (1)输入:4 位二进制 (2)输出:7 段数码管 7 个输出控制信号 (3)电路引脚: (4)实现功能:利用 7 段数码管显示 4 位二进制的 16 进制值 (5)设计方法: 由于该实验若直接进行硬件设计会比较复杂,而7 段数码管显示的真值表较容易掌握,所以我们选择由真值表自动生成电路的方法完成该实验。 先分析设计 7 段数码管显示驱动的真值表,再利用Logisim 中的“分析组合逻辑电路”功能,将真值表填入,自动生成电路。 (6)真值表的设计: 由于是 4输入 7输出,真值表共有 16 行。7输出对应 7个引脚,所以需要依次对照LED 灯的引脚顺序进行设计,如下图所示(注意LED 的引脚顺序): 三、实验步骤 (1)在实验平台下载实验框架文件RGLED.circ; (2)在Logisim 中打开RGLED.circ 文件,选择数码管驱动子电路;

(3)点击“工程”中的“分析组合逻辑电路”功能,先构建4输入和7输出,再在“真值表”中,将已设计好的真值表的所有数值仔细对照着填入表格中,确认无误后点击“生成电路”,自动生成的电路如下图所示: (4)将子电路封装为如下形式:

(5)进行电路测试: ·自动测试 在数码管驱动测试子电路中进行测试; ·平台评测 自动测试结果满足实验要求后,再利用记事本打开RGLED.circ 文件,将所有文字信息复制粘贴到Educoder 平台代码区域,点击评测按钮进行测试。 四、实验结果测试与分析 (1)自动测试的部分结果如下: (2)平台测试结果如下: 综上,本实验测试结果为通过,无故障显示。 本实验的关键点在于:在设计时需要格外注重LED 灯的引脚顺序,保证0-9 数字显示的正确性,设计出正确的真值表。

计算机组成原理实验报告

计算机组成原理实验报告 引言 计算机组成原理是计算机科学与技术的基础课程之一,通过实验可以更好地理解和掌握计算机的组成和工作原理。本文将结合实验的过程和结果,详细论述计算机组成原理的一些关键概念和实际应用。 一、实验目的 本次实验的目的是通过搭建一个简单的计算机系统,深入了解计算机的各个组成模块,如中央处理器(CPU)、存储器、输入输出设备等,并验证计算机的基本工作原理。 二、实验内容 本次实验分为两个部分,第一部分是计算机系统的搭建,包括CPU的设计与实现、存储器的设计与实现等;第二部分是对已搭建的系统进行功能测试,包括寄存器的读写、指令的执行等。 1. CPU的设计与实现

CPU是计算机的核心处理单元,它负责执行各种指令,并控制 计算机的运行状态。在本次实验中,我们采用了冯·诺依曼结构的 单周期CPU设计,包括指令寄存器、算术逻辑单元、控制单元等 组成部分。通过在实验中的操作和执行,我们深入理解了指令的 编码方式、运算的过程等。 2. 存储器的设计与实现 存储器是计算机系统中的主要组成部分,用于存放指令和数据。在本次实验中,我们设计了一个简单的存储器,采用了随机存取 存储器(RAM)的结构。通过实验中的存储器读写操作,我们了 解了存储器的寻址方式、数据的存取过程等。 三、实验结果与分析 经过实验的搭建和测试,我们成功完成了计算机系统的建设, 并验证了其基本功能。在测试过程中,我们发现了一些问题和改 进之处,例如CPU的时钟频率过低导致指令执行速度较慢,存储 器的容量不足等。通过对这些问题的研究和分析,我们能够进一 步优化和改进计算机系统的性能。 四、实验心得体会

计算机组成原理实验报告

成绩:计算机原理实验室实验报告 课程: 姓名: 专业: 学号: 日期: 太原工业学院 计算机工程系

实验一:运算器实验 实验环境ISIS 7 Professional 实验日期 一.实验内容 1.熟悉proteus仿真系统 2.设计并验证4位算数逻辑单元的功能 3.实现输入输出锁存 4.实现8位算数逻辑单元 二.理论分析或算法分析 74181能执行16种算术运算和16种逻辑运算。 其中A3-A0,B3-B0是两个操作数,F3-F0是输出结果,Cn表示最低位的外来进位,M 表示工作方式。 利用74181的算术和逻辑功能实现运算器。

三.实现方法(含实现思路、程序流程图、实验电路图和源程序列表等) 选用74181芯片进行运算器实验,利用三组,每组四个单刀双掷开关对电路状态转换进行控制。通过S3~S0的不同取值实现不同的操作,M的值用来区别算术还是逻辑运算,Cn表示是否有进位。利用LED灯直观的展现实验结果其中前两个LED灯用来显示操作数,后一个LED灯用来做输出结果。

四.实验结果分析(含执行结果验证、输出显示信息、图形、调试过程中所遇的问题及处理方法等)正逻辑:逻辑运算M=1, 正逻辑:算术运算M=0,Cn=1(无进位) 正逻辑:算术运算M=0,Cn=0(有进位)

验证了基本要求,实现了74181的算术/逻辑运算功能,通过对工作开关选择工作状态,改变工作方式开关,使电路是处于逻辑操作或算术操作。通过改变进位开关工作状态,改变电路是否有进位。 以工作选择状态为0000为例,当M=1时,电路处于算术运算状态,运算结果为F=A,而A为0000,即F=1111。当M=0,Cn=1时,运算结果为F=A,而A为0000,即F=A=0;当Cn=0时,运算结果为F=A加1,而A为0000,则A加1的结果即为F=A加1=1,如上图所示。 五.结论 完成了本次实验要求的熟悉proteus仿真系统,设计并验证4位算数逻辑单元的功能的实验内容。学会了如何用74181芯片执行16种算术运算和16种逻辑运算,通过改变操作数和开关工作状态,实现不同的运算组合和功能的实现。 在完成运算器实验的过程中,让我熟悉了74181的功能,并且懂得了运算器的实验原理,了解该软件的应用的同时,增强了自己对相关运算的掌握。

计组实验报告

计算机组成原理实验报告 实验一寄存器组成实验 一、实验目的 (1)熟悉D触发器的功能及使用方法。 (2)掌握寄存器文件的逻辑组成及使用方法。 二、实验内容 (1)掌握Quartus II的使用方法,能够进行数字电路的设计及仿真。 (2)验证Quartus II所提供D触发器的功能及使用方法。 (3)设计具有1个读端口、1个写端口的寄存器文件,并进行存取操作仿真/验证。 三、实验原理及方案 Quartus II提供了多种类型的触发器模块,如D触发器、T触发器等。固定特性的触发器模块有不同的型号,参数化的触发器模块有lpm_ff、lpm_dff、lpm_tff等。D触发器常来构建寄存器。本次实验我们用Quartus II中提供的8为D触发器模块,实现了一个8×8bits 的寄存器组,因此,操作地址均为3位,数据均为8位。由于要求读写端口分离,因此,读操作的相关引脚有地址raddr[2..0]、数据输出q[7..0],写操作的相关引脚有地址waddr[2..0]、数据输入data[7..0]、写使能wen。其中,省略读使能信号可以简化控制,即数据输出不受限制。 寄存器文件通过写地址waddr[2..0]、写使能wen信号来实现触发器的写入控制,通过读地址raddr[2..0]信号来控制触发器的数据输出选择。其连接电路原理如图所示。 寄存器文件的组成 则由此,可在Quartus II中连接原理图:

四、实验结果 仿真波形如下: 五、小结 通过此次实验,我们学会了Quartus II的原理图的构造方法,以及仿真方法,并且使用lpm_dff作为三态门,控制数据的输入,并且在输出时,用lpm_mux选择每个寄存器的数据输出。 最后,在本次实验中,我们重新巩固了课堂学习的内容,也对寄存器加深了了解,相信我们会通过实验在计组的学习道路上越走越远。 实验二运算器组成实验 一、实验目的 (1)熟悉加/减法器的功能及使用方法。 (2)掌握算术逻辑部件(ALU)的功能及其逻辑组成。 (3)加深对运算器工作原理的理解。 二、实验内容 (1)验证Quartus II所提供加/减法器的功能及使用方法。

计算机组成原理课程设计实验报告

计算机构成原理课程设计试验汇报 试验一 一、试验名称 验证74LS181运算和逻辑功能。 二、试验目旳 (1)掌握算术逻辑单元(ALU)旳工作原理; (2)熟悉简朴运算器旳数据传送通路; (3)画出逻辑电路图及布出美观整洁旳接线图; (4)验证4位运算功能发生器(74LS181)组合功能。 三、试验原理 ALU(算术逻辑单元)能进行多种算术运算和逻辑运算。一种4位旳ALU—74LS181运算功能发生器能进行16种算术运算和逻辑运算。功能表如下:

(上表中旳“/”表达求反)ALU—74LS181引脚阐明:M=1逻辑运算,M=0算术运算 四、试验内容 1、首先看懂74LS181各个引脚旳功能;

U1 74LS181N ~A02~B01M 8 CN 7S06~A123~B122~A221~B220~A319~B318S15S33S24~G 17 ~P 15CN416AEQB 14~F110~F313~F211~F09 8个数据输入端(~A0、~A1、~A2、~A3,~B0、~B1、~B2、 ~B3,其中八个输入端中A3和B3是高位)。四个控制端S0、S1、S2、S3,这四个控制端重要控制两个四位输入数据旳运算,例如加、减、与、或。CN 端处理进入芯片前进位值,M 控制芯片进行算术运算还是逻辑运算。F0、F1、F2、F3是四个二进制输出端。 2、 画出4位ALU 验证示意图;

D C D _H E X _B L U E 3、 对试验数据进行验证:

验证74LS181型4位ALU旳逻辑算术功能,填写下表: 五、总结及心得体会 本次试验通过一种简朴运算器旳形式,让我掌握电路设计和分析旳措施和能力;清晰旳明白了74LS181芯片各个引脚旳功能,懂得怎样通过控制开关来进行多种运算。 这个试验也为我接下来进行试验二打下了坚实旳基础。不至于在纷繁旳电路图中迷失方向,只有弄明白个个芯片旳功能及怎样控制,才会得出对旳旳成果。

计算机组成原理实验报告

1. 寄存器 五、实验总结 按照实验要求进行连接和操作,对通用寄存器组进行了数据的写入和读出,两组数据完全对照,得到了预期效果,说明了存入数据的正确性,在整个过程中也对寄存器组的构成和硬件电路有了更深层次的理解。 2. 运算器 五、实验总结 基本熟悉了整个实验系统的基本结构,了解了该实验装置按功能分成几大区,学会何时操作各种开关、按键。最重要的是通过实验掌握了运算器工作原理,熟悉了算术/逻辑运算的运算过程以及控制这种运算的方法,了解了进位对算术与逻辑运算结果的影响,对时序是如何起作用的没太弄清楚,相信随着后续实验的进行一定会搞清楚的 3. 存储器 五、实验总结 按照实验要求连接器材设备元件,按照给定步骤进行实验操作。通过向静态RAM中写入数据并读出数据,在INPUT单元输入数并存入地址寄存器,再向相应的地址单元存入数,验证读出数据时,只需再INPUT单元输入想要读出单元的地址,再通过片选端CE读出存储单元的数据,其中We=0是控制写端,WE=1控制读,CE低电平有效。实验过程遇到一些问题,对实验容不是很熟,有待提高。

4. CPU与简单模型机设计实验 一、实验目的 (1) 掌握一个简单CPU的组成原理。 (2) 在掌握部件单元电路的基础上,进一步将其构造一台基本模型计算机。 (3) 为其定义五条机器指令,编写相应的微程序,并上机调试掌握整机概念。 二、实验设备 PC机一台,TD-CMA实验系统一套。 三、实验原理 本实验要实现一个简单的CPU,并且在此CPU的基础上,继续构建一个简单的模型计算机。CPU 由运算器(ALU)、微程序控制器(MC)、通用寄存器(R0),指令寄存器(IR)、程序计数器(PC)和地址寄存器(AR)组成,如图5-1-1 所示。这个CPU 在写入相应的微指令后,就具备了执行机器指令的功能,但是机器指令一般存放在主存当中,CPU 必须和主存挂接后,才有实际的意义,所以还需要在该CPU的基础上增加一个主存和基本的输入输出部件,以构成一个简单的模型计算机。 除了程序计数器(PC),其余部件在前面的实验中都已用到,在此不再讨论。系统的程序计数器(PC)和地址寄存器(AR)集成在一片CPLD 芯片中。CLR 连接至CON 单元的总清端CLR,按下CLR 按钮,将使PC 清零,LDPC 和T3 相与后作为计数器的计数时钟,当LOAD 为低时,计数时钟到来后将CPU总线上的数据打入PC。

计算机组成原理实验报告

计算机组成原理实验报告 ——微程序控制器实验一.实验目的: 1.能看懂教学计算机(TH-union)已经设计好并正常运行的数条基本指令的功能、格式及 执行流程。并可以自己设计几条指令,并理解其功能,格式及执行流程,在教学计算机上实现。 2.深入理解计算机微程序控制器的功能与组成原理 3.深入学习计算机各类典型指令的执行流程 4.对指令格式、寻址方式、指令系统、指令分类等建立具体的总体概念 5.学习微程序控制器的设计过程和相关技术 二.实验原理: 微程序控制器主要由控制存储器、微指令寄存器和地址转移逻辑三大部分组成。 其工作原理分为: 1、将程序和数据通过输入设备送入存储器; 2、启动运行后从存储器中取出程序指令送到控制器去识别,分析该指令要求什么事; 3、控制器根据指令的含义发出相应的命令(如加法、减法),将存储单元中存放的操作数据取出送往运算器进行运算,再把运算结果送回存储器指定的单元中; 4、运算任务完成后,就可以根据指令将结果通过输出设备输出 三.微指令格式: 1)微地址形成逻辑 TH—UNION 教学机利用器件形成下一条微指令在控制器存储器的地址. 下地址的形成由下地址字段及控制字段中的CI3—SCC控制.当为顺序执行时,下地址字段不起作用.下地址为当前微指令地址加1;当为转移指令(CI3—0=0011)时,由控制信号SCC提供转移条件,由下地址字段提供转移地址. 2)控制字段 控制字段用以向各部件发送控制信号,使各部件能协调工作。 控制字段中各控制信号有如下几类: ①对运算器部件为了完成数据运算和传送功能,微指令向其提供了24位的控制信号,包括:4位的A、B口地址,用于选择读写的通用积存器3组3位的控制码I8-I6、 I5-I3、I2-I6,用于选择结果处置方案、运算功能、数据来源。 3组共7位控制信号控制配合的两片GAL20V8 3位SST,用于控制记忆的状态标志位 2位SCI,用于控制产生运算器低位的进位输入信号

xx大学计算机组成原理实验报告(全)

上海大学计算机组成原理实验报告(全) 《计算机组成原理实验》报告一姓名学号时间地点行健楼 609机房评阅一.数据传送实验 1. 实验内容及要求 在试验箱上完成以下内容: 将58H写入A寄存器。将6BH写入W寄存器。将C3H 写入R1寄存器。 2. 实验环境 本实验箱用74HC574构成各种寄存器。 3. 实施步骤或参数 ①注视仪器,打开电源,手不要远离电源开关,随时准备关闭电源,注意各数码管、发光管的稳定性,静待10秒,确信仪器稳定、无焦糊味。 ②设置实验箱进入手动模式。 ③K2接AEN,K1和K2接EX0和EX1,设置K2K1K0=010,设置K23~K16=01011000。④注视A及DBUS的发光管,按下STEP键,应看到CK灯灭、A旁的灯亮。记住看到的实际显示情况。 ⑤放开STEP键,应看到CK灯亮、A寄存器显示58。记住看到的实际情况。 ⑥重复上述实验步骤,在做6BH时,K2接WEN,K1和K2

接EX2和EX3,设置K2K1K0=010,设置K23~K16=01101011;重复上述实验步骤,在做C3H时,K2接RWR,K1和K2接SB 和SA,设置K2K1K0=001,设置K23~K16=10100011。 ⑦关闭实验箱电源。 4. 测试或者模拟结果 A寄存器显示58,W寄存器显示6B,R1寄存器显示C3,完成实验目的。 5. 体会 本次实验相对简单,只需要三根线便可以完成整个实验,但是,今天认识了实验箱。 124 并且在老师的带领下较为完整的认识了整个试验箱,还是很开心的,今后实验箱将是我们学习计算机组成原理的重要工具,也是我们的好朋友。 《计算机组成原理实验》报告二姓名学号时间地点行健楼 609机房评阅二.运算器实验 1. 实验内容及要求 在试验箱上完成以下内容: 计算07H+6AH后左移一位的值送OUT输出。把39H取反后同64H相或的值送入R2寄存器。通过人工译码,加深对译码器基本工作原理的理解。 理解命令的顺序执行过程。

计算机组成原理实验报告实验2.3.2 通用寄存器实验

实验一通用寄存器实验 一、实验目的 1.熟悉通用寄存器的数据通路。 2.掌握通用寄存器的构成和运用。 二、实验要求 在掌握了AX、BX运算寄存器的读写操作后,继续完成CX、DX通用寄存器的数据写入与读出。 三、实验原理 实验中所用的通用寄存器数据通路如下图所示。由四片8位字长的74LS574组成CX(R1 R0)、DX(R3 R2)通用寄存器组。图中X2 X1 X0定义输出选通使能,SI、XP控制位为源选通选择。RXW为寄存器数据写入使能,OP、DI为目的寄存器选择。DRCK信号为寄存器写脉冲,下降沿有效。准双向I/O输入输出端口用于置数操作,经2片74LS245三态门与数据总线相连。 图2-3-3通用寄存器数据通路

四、实验内容 2.寄存器的读写操作 1)目的通路 当RXW=0时,由DI、OP编码产生目的寄存器地址,详见下表。 表2.3.5通用寄存器“手动/搭接”目的编码 2)CX、DX寄存器的写入 通过“I/O输入输出单元”向CX、DX分别置数1122h、3344h,操作步骤如下: K10~K6=10000 K18~K16=000 K18~K16=010 3)源通路 当X2 X1 X0=0 0 1时,由SI、XP编码产生源寄存器,详见下表。 表2.3.6通用寄存器“手动/搭接”源编码

1 0 0 DX 字读 1 0 1 DL(R2)偶字节读 1 1 X DH(R2)奇字节读 4) CX 、DX 寄存器的读出 读CX 数据来源 REG 数据总线 显示CX 值 读DX 数据总线 显示DX 值

五、实验心得 本次试验中我对实验设备Dais-CMX16+有了初步的认识。由于第一次使用这个试验箱,对界面和操作还不是很熟悉,按照指导书上的步骤和要求完成了实验。但对实验的内部原理的各部件的操作和设置还不是很理解。

相关主题
文本预览
相关文档 最新文档