当前位置:文档之家› 计算机组成原理实验指导及答案

计算机组成原理实验指导及答案

计算机组成原理实验指导

实验一运算器实验

一、实验目的

⒈掌握简单运算器的数据传输方式。

⒉验证运算功能发生器(74LS181)及进位控制的组合功能。

二、实验要求

完成不带进位及带进位算术运算实验、逻辑运算实验,了解算术逻辑运算单元的运用。

三、实验原理

实验中所用的运算器数据通路如图7-1-1所示。其中运算器由两片74LS181以并/串形式构成8位字长的ALU。运算器的输出经过一个三态门(74LS245)以8芯扁平线方式和数据总线相连,运算器的2个数据输入端分别由二个锁存器(74LS273)锁存,锁存器的输入亦以8芯扁平线方式与数据总线相连,数据开关(INPUT DEVICE)用来给出参与运算的数据,经一三态门(74LS245)以8芯扁平线方式和数据总线相连,数据显示灯(BUS UNIT)已和数据总线相连,用来显示数据总线内容。

图7-1-1 运算器电原理图

图7-1-1中T2、T4为时序电路产生的节拍脉冲信号,通过连接时序启停单元时钟信号“”来获得,剩余均为电平控制信号。进行实验时,首先按动位于本实验装置右中侧的复位按钮使系统进入初始待令状态,在LED显示器闪动位出现“P.”的状态下,按【增

址】命令键使LED显示器自左向右第4位切换到提示符“L”,表示本装置已进入手动单元实验状态,在该状态下按动【单步】命令键,即可获得实验所需的单脉冲信号,而LDDR1、LDDR2、ALU-B、SW-B、S3、S2、S1、S0、CN、M各电平控制信号用位于LED显示器上方的26位二进制开关来模拟,均为高电平有效。

四、实验连线

图7-1-2 实验连线示意图

按图7-1-2所示,连接实验电路:

①总线接口连接:用8芯扁平线连接图7-1-2中所有标明“”或“”图

案的总线接口。

②控制线与时钟信号“”连接:用双头实验导线连接图7-1-2中所有标明“”或“”图案的插孔(注:Dais-CMH的时钟信号已作内部连接)。

五、实验系统工作状态设定

在闪动的“P.”状态下按动【增址】命令键,使LED显示器自左向右第4位显示提示符“L”,表示本装置已进入手动单元实验状态。

在“L”状态下,如图7-1-3所示系统用位于实验系统“二进制开关单元”的26

只拨动开关来模拟与微控制器相对应的控制信号。用手动加载正逻辑控制电平(即高电平信号“H”)和按【单步】命令键产生的单周期4拍时序信号T1、T2、T3、T4的方法来实现和完成各单元实验所需的控制信号操作。

图7-1-3 二进制开关模拟控制原理图

六、手动实验提示

(一)初始化操作

一旦进入“L”状态,首先应把“二进制开关单元”的26只模拟开关拨至下方(即

低电平信号“L”),使26只微控制状态指示灯处“暗”,然后按【单步】命令键关闭全部控制信号锁存输出位,用手动方法完成微控制器的初始清零操作。在“L”状态下直接按【复位】按钮亦可完成微控制器的初始清零操作。

(二)控制信号的打入方法

⑴有效状态的特征:本系统提供的是“正逻辑”控制电路,通常情况下把高电平“H”定义为有效状态,以点亮发光二极管为标志。

⑵有效状态的建立:结合实验项目,按实验要求把相关的二进制开关拨向上方,点亮对应的发光二极管。

⑶有效状态的控制:在建立有效状态的基础上,按【单步】命令键单次启动时序节拍信号T1、T2、T3、T4,模型机按时序要求在相关时刻发出控制信号,以手动方式实现相关单元实验。

(三)总线输入/输出约定

⑴输入约定

对于计算机各部件的数据输出必须通过数据总线来完成,为了避免总线冲突与竞争,模型机规定在同一机器周期内只能允许一个部件的数据占用总线。结合手动控制列举如下约定:

①数据开关送总线:令SW-B=1,CBA=000,CE=0

②存储器内容送总线:令CE=1,SW-B=0,CBA=000

③其它部件送总线:令CBA=001~111,SW-B=0,CE=0

⑵输出共享

对于计算机各部件的数据输入可共享总线内容,即在同一机器周期内允许把当前数据同时送2个以上部件单元,结合手动控制举例如下:

例:把数据开关的内容送通用寄存器R0、运算寄存器DR1、地址寄存器AR、指令寄存器IR,令SW-B=1,LDR0=1,LDDR1=1,LDAR=1,LDIR=1,然后按【单步】命令键即可实现总线数据共享。

七、实验内容

(一)算术运算实验

⑴写操作(置数操作)

拨动二进制数据开关向DR1和DR2寄存器置数,具体操作步骤如下:

注:【单步】键的功能是启动时序电路产生T1~T4四拍单周期脉冲

⑵读操作(运算寄存器内容送总线)

首先关闭数据输入三态控制端(SW-B=0),存储器控制端CE保持为0,令LDDR1=0、LDDR2=0,然后打开ALU输出三态门(CBA=010),置M、S0、S1、S2、S3为11111,再按【单步】键,数据总线单元显示DR1的内容,若把M、S0、S1、S2、S3置为10101,再按【单步】键,数据总线单元显示DR2的内容。

⑶算术运算(不带进位加)

置CBA=010,CN、M、S0、S1、S2、S3状态为,按【单步】键,此时数据总线单元应显示(0CH)。

(二)进位控制实验

进位控制运算器的实验原理如实验四图7-4-1所示,其中181的进位位进入74LS74锁存器D端,该端的状态锁存受AR和T4信号控制,其中AR为进位位允许信号,高电平有效;T4为时序脉冲信号,当AR=1时在T4节拍将本次运算的进位结果锁存到进位锁存器中,实现带进位控制实验。

⑴进位位清零操作

在“L”状态下,按动【复位】按钮,进位标志灯CY“灭”,实现对进位位的清零操作。(当进位标志灯“亮”时,表示CY=1)。

⑵用二进制数据开关向DR1和DR2寄存器置数

首先关闭ALU输出三态门(CBA=000)、CE=0,开启输入三态门(SW-B=1),设置数据开关,向DR1存入(55H),向DR2存入(AAH)。操作步骤如下:

注:【单步】键的功能是启动时序电路产生T1~T4四拍单周期脉冲

⑶验证带进位运算的进位锁存功能

关闭数据输入三态门(SW-B=0)、CE=0,使CBA=010,AR=1,置CN、M、S0、S1、S2、S3的状态为,按【单步】键,此时数据总线单元显示的数据为DR1加DR2,若进位标志灯CY“亮”,表示有进位;反之无进位。

(三)逻辑运算实验

⑴写操作(置数操作)

拨动二进制数据开关向DR1和DR2寄存器置数,具体操作步骤如下:

注:【单步】键的功能是启动时序电路产生T1~T4四拍单周期脉冲

⑵读操作(运算寄存器内容送总线)

首先关闭数据输入三态控制端(SW-B=0),存储器控制端CE保持为0,令LDDR1=0、LDDR2=0,然后打开ALU输出三态门(CBA=010),置M、S0、S1、S2、S3为11111,再按【单步】键,数据总线单元显示DR1的内容,若把M、S0、S1、S2、S3置为10101,再按【单步】键,数据总线单元显示DR2的内容。

⑶逻辑或非运算

逻辑或非运算的方法是置CBA=010,M、S0、S1、S2、S3状态为11000,按【单步】键,此时数据总线单元应显示(18H)。

七、实验思考

验证74LS181的算术逻辑运算功能。

在给定DR1=65、DR2=A7的情况下,改变运算器的功能设置,按【单步】键,观察运算器的输出,填入下页表格中,并和理论分析进行比较、验证。

②加法运算时,CY=1表示运算结果有进位,CY=0表示运算结果无进位; 减法运算时,CY=1表示运算结果无借位,CY=0表示运算结果有借位。

实验二通用寄存器实验

一、实验目的

⒈熟悉通用寄存器概念。

⒉熟悉通用寄存器的组成和硬件电路。

二、实验要求

完成3个通用寄存器的数据写入与读出。

三、实验原理

实验中所用的通用寄存器数据通路如图7-2-1所示。由三片8位字长的74LS374组成R0、R1、R2寄存器组成。三个寄存器的输入接口用一8芯扁平线连至BUS总线接口,而三个寄存器的输出接口用一8芯扁平线连至BUS总线接口。图中R0-B、R1-B、R2-B 经CBA二进制控制开关译码产生数据输出选通信号(详见表7-2-1),LDR0、LDR1、LDR2为数据写入允许信号,由二进制控制开关模拟,均为高电平有效;T4信号为寄存器数据写入脉冲,上升沿有效。在手动实验状态(即“L”状态)每按动一次【单步】命令键,产生一次T4信号。

图7-2-1 通用寄存器单元电路

通用寄存器单元选通真值表

C B A 选择

1 0 0 R0-B

1 0 1 R1-B

1 1 0 R2-B

四、实验连线

图7-2-2 实验连线示意图

按图7-2-2所示,连接实验电路:

①总线接口连接:用8芯扁平线连接图7-2-2中所有标明“”或“”图

案的总线接口。

②控制线与时钟信号“”连接:用双头实验导线连接图7-2-2中所有标明“”

或“”图案的插孔(注:Dais-CMH的时钟信号已作内部连接)。

五、实验内容

(一)通用寄存器的写入

拨动二进制数据开关向R0和R1寄存器置数,具体操作步骤如下:

电路名称主要电路内容

运算器单元(ALU UNIT) 运算器、进位控制器、移位寄存器、寄存器堆、内部总线

计数器与地址寄存器单元

(ADDRESS UNIT)

地址寄存器、程序地址计数器

微控器单元

(MICRO CONTROLLER UNIT) 指令寄存器、指令择码器、微代码控制寄存器及其26位二进制控制模拟开关、逻辑译码单元、时序电路、启停电路、单脉冲电路、脉冲源、中断控制

主存单元(MAIN MEM) SRAM6116

输入设备、输出设备

(INPUT DEVICE & OUTPT DEVICE) 开关、显示灯、8位LED显示、16个数字键、16个命令键,每个部件都有双位显示器

逻辑信号测量单元2路逻辑信号PC示波器、信号测试

单片机控制单元(PC UNIT) 控制单片机、MACH、RS-232-C串口等

电源高性能开关电源、输出为+5V/3A

通用实验单元(扩展实验)* 2个IC-40/28芯通用型锁紧式扩展插座PLD单元(扩展实验)* 2个PLCC扩展方插座

注:【单步】键的功能是启动时序电路产生T1~T4四拍单周期脉冲

(二)通用寄存器的读出

关闭数据输入三态(SW-B=0),存储器控制端CE=0,令LDR0=0、LDR1=0、LDR2=0,分别打开通用寄存器R0、R1、R2输出控制位,置CBA=100时,按【单步】键,数据总线单元显示R0中的数据01H;置CBA=101时,按【单步】键。数据总线单元显示R1中的数据80H;置CBA=110时,按【单步】键,数据总线单元显示R2中的数据(随机)。

实验三移位寄存器实验

一、实验目的

⒈了解移位寄存器的硬件电路,验证移位控制与寄存的组合功能。

⒉利用寄存器进行数据传输。

二、实验要求

实现寄存器移位操作,了解通用寄存器的运用。

三、实验原理

图7-3-1 带进位移位寄存器电原理图

上图所示,使用了一片74LS299作为移位发生器,其中8位输入/输出端以8芯扁平线连接形式和总线接口连接。299-B信号控制其使能端(0有效),T4为时序节拍脉冲,实验时按【单步】命令键产生。由S0 、S1、M 控制信号设置其运行状态,其控制特性列表如下:

表7-3-1

299-B S1 S0 M 功能

0 0 0 任意保持

0 1 0 0 循环右移

0 1 0 1 带进位循环右移

0 0 1 0 循环左移

0 0 1 1 带进位循环左移

任意 1 1 任意装数

说明:令CBA=011时表中299-B=0。

四、实验连线

图7-3-2 实验连线示意图

按图7-3-2所示,连接实验电路:

①总线接口连接:用8芯扁平线连接图7-3-2中所有标明“”或“”图

案的总线接口。

②控制线与时钟信号“”连接:用双头实验导线连接图7-3-2中所有标明“”或“”图案的插孔(注:Dais-CMH的时钟信号已作内部连接)。

五、实验内容

(一)移位寄存器置数

首先置CBA=000,然后按下面所列流程图操作:

注:【单步】键的功能是启动时序电路产生T1~T4四拍单周期脉冲

(二)寄存器移位

首先置CBA=011(299-B=0)、SW-B=0、CE=0,然后参照表7-2-1改变S0、S1、M的状态,按动【单步】命令键观察移位结果。

(三)移位结果的寄存

把移位寄存器移位后的内容寄存到通用寄存器(以R0为例),首先按图7-2-2所示连接实验电路。在移位操作后保持CBA=011(即299-B=0)、置S0=0、S1=0,令LDR0=1,再按动【单步】命令键即可完成移位结果保存到通用寄存器R0的操作。

(四)移位结果的读出

置CBA=100、SW-B=0、CE=0,按【单步】键,数据总线单元显示R0寄存器的内容,该内容应与移位寄存器的内容一致。

实验四判零实验

一、实验目的

熟悉判零线路的硬件。

二、实验要求

完成运算寄存器的判零。

三、实验原理

图7-4-1 标志位锁存原理图

零标志的实验原理如图7-4-1所示,其中181的8位输出端逻辑或非后进入74LS74锁存器D端,该端的状态由AR和T4信号控制,当AR=1时,按【单步】命令键,在T4节拍把当前ZQ状态锁存到零标志锁存器中。

四、实验内容

⑴本实验的连接请按图7-1-2所示。

⑵用二进制数据开关向DR1和DR2寄存器置数

首先关闭ALU输出三态门(CBA=000)、CE=0,开启输入三态门(SW-B=1),设置数据开关。例如向DR1、DR2均存入(01H)。具体操作步骤如下:

注:【单步】键的功能是启动时序电路产生T1~T4四拍单周期脉冲

⑶读操作(运算寄存器内容送总线)

首先关闭数据输入三态控制端(SW-B=0),存储器控制端CE保持为0,令LDDR1=0、LDDR2=0,然后打开ALU输出三态门(CBA=010),置M、S0、S1、S2、S3为11111,再按【单步】键,数据总线单元显示DR1的内容(01H),若把M、S0、S1、S2、S3置为10101,再按【单步】键,数据总线单元显示DR2的内容(01H)。

⑷带进位减法运算

令SW-B=0、CE=0,置CBA=010、AR=1,置CN、M、S0、S1、S2、S3为,按【单步】键,此时数据总线单元应显示(00H)。

注:181运算器作减法运算时,有借位CY=0,无借位CY=1。

⑸寄存器判零

在保持带进位减法运算所设置的状态下,令AR=1,按【单步】键,若零标志灯“亮”,表示当前运算结果为零;反之零标志灯“灭”,表示当前运算结果不为零。

实验五缓冲输入/锁存输出实验

一、实验目的

掌握输入/输出的硬件电路。

二、实验要求

了解输入/输出的应用。

三、实验原理

实验中所用的输入/输出设备如图7-5-1、7-5-2所示。其中输入设备有8位带显示数据开关经一个三态门(74LS245)以8芯扁平线方式和数据总线相连。输出设备经一锁存器(74LS273)实现,该锁存器的8位输入端以8芯扁平线方式和数据总线相连,其锁存输出端通过8芯扁平线与8个发光二极管的显示接口相连,该显示接口以二进制方式显示输出结果(灯亮表示该输出位为1,灯灭表示该输出位为0)。

图7-5-1 输入设备单元

图7-5-2 输出设备单元

四、实验连线

图7-5-3 实验连线示意图

按图7-5-3所示,连接实验电路:

①总线接口连接:用8芯扁平线连接图7-5-3中所有标明“”或“”图案的总线接口。

②控制线与时钟信号“”连接:用双头实验导线连接图7-5-3中所有标明“”或“”图案的插孔(注:Dais-CMH的时钟信号已作内部连接)。

五、实验内容

输入设备缓冲输入经输出设备锁存输出的实验步骤如下:

注:【单步】键的功能是启动时序电路产生T1~T4四拍单周期脉冲

令CE=0、CBA=000,置SW-B=1,置A9=1、A8=1(选中Y3,Y3由系统控制线LDED使用,详见P18页),然后将数据开关设置为(01H),按【单步】键产生单周期4拍制脉冲,把数据开关所设定的(01H)锁存输出至显示接口,8位输出数据灯应显示(01H);改变数据开关的设置,再按【单步】键,可把当前数据开关的内容锁存输出至8位显示单元显示。

实验六存储器和总线实验

一、实验目的

熟悉存储器和总线组成的硬件电路。

二、实验要求

按照实验步骤完成实验项目,利用存储器和总线传输数据。

三、实验原理

图7-6-1 存储器实验原理图

实验所用的半导体静态存储器电路原理如图7-6-1所示,该静态存储器由一片

6116(2Kx8)构成,其数据线(D7~D0)以8芯扁平线方式和数据总线(D7~D0)相连接,地址线由地址锁存器(74LS273)给出,该锁存器的输入/输出通过8芯扁平线分别连至数据总线接口和存储器地址接口。地址显示单元显示AD7~AD0的内容。数据开关经一三态门(74LS245)以8芯扁平线方式连至数据总线接口,分时给出地址和数据。6116有3根控制线:CS(片选线)、OE(读线)、WR(写线)。当片选有效CS=0时,OE=0时进行读操作,WR=0时进行写操作。本实验中将OE引脚接地,在此情况下,当CS=0、WR=1时进行读操作,CS=0、WR=0时进行写操作,其写时间与T3脉冲宽度一致。实验时T3脉冲由【单步】命令键产生,其它电平控制信号由二进制开关模拟,其中CE、SW-B、LDAR 为高电平有效,而WE为读/写(W/R)控制信号,当WE=0时进行读操作,当WE=1时进行写操作。

四、实验连线

图7-6-2 实验连线示意图

按图7-6-2所示,连接实验电路:

①总线接口连接:用8芯扁平线连接图7-6-2中所有标明“”或“”或“”图案的总线接口。

②控制线与时钟信号“”连接:用双头实验导线连接图7-6-2中所有标明“”或“”图案的插孔(注:Dais-CMH的时钟信号已作内部连接)。

五、实验内容

在闪动的“P.”状态下按动【增址】命令键,使LED显示器自左向右第4位显示提示符“L”,表示本装置已进入手动单元实验状态。(若当前处“L”状态,本操作可略)。

(一)内部总线数据写入存储器

给存储器的00、01、02、03、04地址单元中分别写入数据11、12、13、14、15,具体操作步骤如下(以向00地址单元写入数据11为例):

注:【单步】键的功能是启动时序电路产生T1~T4四拍单周期脉冲

(二)读存储器的数据到总线上

依次读出第00、01、02、03、04号单元中的内容,观察上述各单元中的内容是否与前面写入的一致。具体操作步骤如下(以从00地址单元读出数据11为例):

注:【单步】键的功能是启动时序电路产生T1~T4四拍单周期脉冲

实验七微程序控制单元实验

一、实验目的

⒈掌握时序产生器的组成方式。

⒉熟悉微程序控制器的原理。

⒊掌握微程序编制及微指令格式。

二、实验要求

按照实验步骤完成实验项目,熟悉微程序的编制、写入、观察运行状态。

三、实验原理

⒈微程序控制电路

微程序控制器的组成见图7-7-1,其中控制存储器采用4片6116静态存储器,微命令寄存器32位,用三片8D触发器(273)和一片4D(175)触发器组成。微地址寄存器6位,用三片正沿触发的双D触发器(74)组成,它们带有清零端和置位端。在不判别测试的情况下,T2时刻打入微地址寄存器的内容即为下一条微指令地址。当T4时刻进行测试判别时,转移逻辑满足条件后输出的负脉冲通过置位端将某一触发器输出端置为“1”状态,完成地址修改。

⒉微指令格式

A字段B字段

计算机组成原理_实验报告四(含答案)

湖南科技学院 电子与信息工程学院 实验报告 课程名称: 姓名: 学号: 专业: 班级: 指导老师:

实验四微程序控制组成实验 一、实验目的及要求 1.将微程序控制器同执行部件(整个数据通路)联机,组成一台模型计算机。 2.用微程序控制器控制模型计算机的数据通路。 3.执行给定的简单程序,掌握机器指令与微指令的关系,牢固建立计算机的整机概念。 二、实验电路 本次实验将前面几个实验中的所模块,包括运算器、存储器、通用寄存器堆等同微程序控制器组合在一起,构成一台简单的模型机。这是最复杂的一个实验,也将是最有收获的一个实验。 在前面的实验中,实验者本身作为“控制器”,完成了对数据通路的控制。而在本次实验中,数据通路的控制将交由微程序控制器来完成。实验机器从内存中取出一条机器指令到执行指令结束的一个指令周期,是由微程序完成的,即一条机器指令对应一个微程序序列。 实验电路大致如下面框图所示。其中控制器是控制部件,数据通路是执行部件,时序发生器是时序部件。需使用导线将各个部件控制信号与控制器相连。 三、实验主要仪器设备 1.TEC-5计算机组成实验系统1台 2.逻辑测试笔一支(在TEC-5实验台上) 四、实验任务 1.对机器指令组成的简单程序进行译码。将下表的程序按机器指令格式手工汇编成二进制机器代码, 此项任务请在预习时完成。 2. 3.使用控制台命令将寄存器内容初始化为:R0=11H,R1=22H,R2=0AAH。

4.使用控制台命令将任务1中的程序代码存入内存中(注意起始地址为30H),以及将内存地址为 11H的单元内容设置为0AAH。 5.用单拍(DP)方式执行一遍程序,执行时注意观察各个指示灯的显示并做好记录(完成实验表格), 从而跟踪程序执行的详细过程(可观察到每一条微指令的执行过程)。 6.用连续方式再次执行程序。这种情况相当于计算机正常的工作。程序执行到STP指令后自动停机。 读出寄存器中的运算结果,与理论值比较。 五、实验步骤和实验结果记录 1.程序译码。 2.实验接线(本实验接线比较多,需仔细) 只要把上表种同列的信号用线连接即可,一共接线33条。 接好线后,将编程开关拨到“正常位置”。合上电源,按CLR#按钮,使TEC-5实验实验系统处于初始状态。 3.实验任务3:使用控制台命令将寄存器内容初始化为:R0=11H,R1=22H,R2=0AAH的操作步骤及结果记录。 (1)掌握写寄存器WRF的原理和步骤(详见实验参考资料)。 (2)操作过程如下:

计算机组成原理第四章课后习题及答案_唐朔飞(完整版)

第4章存储器 1. 解释概念:主存、辅存、Cache、RAM、SRAM、DRAM、ROM、PROM、EPROM、EEPROM、CDROM、Flash Memory。 答:主存:主存储器,用于存放正在执行的程序和数据。CPU可以直接进行随机读写,访问速度较高。 辅存:辅助存储器,用于存放当前暂不执行的程序和数据,以及一些需要永久保存的信息。 Cache:高速缓冲存储器,介于CPU和主存之间,用于解决CPU和主存之间速度不匹配问题。 RAM:半导体随机存取存储器,主要用作计算机中的主存。 SRAM:静态半导体随机存取存储器。 DRAM:动态半导体随机存取存储器。 ROM:掩膜式半导体只读存储器。由芯片制造商在制造时写入内容,以后只能读出而不能写入。 PROM:可编程只读存储器,由用户根据需要确定写入内容,只能写入一次。 EPROM:紫外线擦写可编程只读存储器。需要修改内容时,现将其全部内容擦除,然后再编程。擦除依靠紫外线使浮动栅极上的电荷泄露而实现。 EEPROM:电擦写可编程只读存储器。 CDROM:只读型光盘。 Flash Memory:闪速存储器。或称快擦型存储器。 2. 计算机中哪些部件可以用于存储信息?按速度、容量和价格/位排序说明。 答:计算机中寄存器、Cache、主存、硬盘可以用于存储信息。 按速度由高至低排序为:寄存器、Cache、主存、硬盘; 按容量由小至大排序为:寄存器、Cache、主存、硬盘;

按价格/位由高至低排序为:寄存器、Cache、主存、硬盘。 3. 存储器的层次结构主要体现在什么地方?为什么要分这些层次?计算机如何管理这些层次? 答:存储器的层次结构主要体现在Cache-主存和主存-辅存这两个存储层次上。 Cache-主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,CPU访存速度加快,接近于Cache的速度,而寻址空间和位价却接近于主存。 主存-辅存层次在存储系统中主要起扩容作用,即从程序员的角度看,他所使用的存储器其容量和位价接近于辅存,而速度接近于主存。 综合上述两个存储层次的作用,从整个存储系统来看,就达到了速度快、容量大、位价低的优化效果。 主存与CACHE之间的信息调度功能全部由硬件自动完成。而主存与辅存层次的调度目前广泛采用虚拟存储技术实现,即将主存与辅存的一部分通过软硬结合的技术组成虚拟存储器,程序员可使用这个比主存实际空间(物理地址空间)大得多的虚拟地址空间(逻辑地址空间)编程,当程序运行时,再由软、硬件自动配合完成虚拟地址空间与主存实际物理空间的转换。因此,这两个层次上的调度或转换操作对于程序员来说都是透明的。 4. 说明存取周期和存取时间的区别。 解:存取周期和存取时间的主要区别是:存取时间仅为完成一次操作的时间,而存取周期不仅包含操作时间,还包含操作后线路的恢复时间。即: 存取周期 = 存取时间 + 恢复时间 5. 什么是存储器的带宽?若存储器的数据总线宽度为32位,存取周期为200ns,则存储器的带宽是多少? 解:存储器的带宽指单位时间内从存储器进出信息的最大数量。 存储器带宽= 1/200ns ×32位 = 160M位/秒 = 20MB/秒 = 5M字/秒 注意:字长32位,不是16位。(注:1ns=10-9s)

计算机组成原理实验指导书

计算机组成原理实验指导书适用TD-CMA实验设备

实验一基本运算器实验 一、实验原理 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A和暂存器B,三个部件同时接受来自A和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3 0 CN来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。ALU中所有模块集成在一片CPLD中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-1-2所示。图中显示的是一个4X4的矩阵(系统中是一个8X8的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连,而没有同任何输入相连的则输出连接0。 (2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4位矩阵中使用‘右1’和‘左3’对角线来实现右循环1位。 (3) 对于未连接的输出位,移位时使用符号扩展或是0填充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。 原理如图1-1-1所示

图1-1-1 运算器原理图 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A和暂存器B,三个部件同时接受来自A和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3 0 决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是算术运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。ALU中所有模块集成在一片CPLD(MAXII EPM240)中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-1-2所示。图中显示的是一个4X4的矩阵(系统中是一个8X8的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即:

计算机组成原理作业讲解1-4章答案

1.1 概述数字计算机的发展经过了哪几个代?各代的基本特征是什么? 略。 1.2 你学习计算机知识后,准备做哪方面的应用? 略。 1.3 试举一个你所熟悉的计算机应用例子。 略。 1.4 计算机通常有哪些分类方法?你比较了解的有哪些类型的计算机? 略。 1.5 计算机硬件系统的主要指标有哪些? 答:机器字长、存储容量、运算速度、可配置外设等。 答:计算机硬件系统的主要指标有:机器字长、存储容量、运算速度等。 1.6 什么是机器字长?它对计算机性能有哪些影响? 答:指CPU一次能处理的数据位数。它影响着计算机的运算速度,硬件成本、指令系统功能,数据处理精度等。 1.7 什么是存储容量?什么是主存?什么是辅存? 答:存储容量指的是存储器可以存放数据的数量(如字节数)。它包括主存容量和辅存容量。 主存指的是CPU能够通过地址线直接访问的存储器。如内存等。 辅存指的是CPU不能直接访问,必须通过I/O接口和地址变换等方法才能访问的存储器,如硬盘,u盘等。 1.8 根据下列题目的描述,找出最匹配的词或短语,每个词或短语只能使用一次。(1)为个人使用而设计的计算机,通常有图形显示器、键盘和鼠标。 (2)计算机中的核心部件,它执行程序中的指令。它具有加法、测试和控制其他部件的功能。 (3)计算机的一个组成部分,运行态的程序和相关数据置于其中。 (4)处理器中根据程序的指令指示运算器、存储器和I/O设备做什么的部件。 (5)嵌入在其他设备中的计算机,运行设计好的应用程序实现相应功能。 (6)在一个芯片中集成几十万到上百万个晶体管的工艺。 (7)管理计算机中的资源以便程序在其中运行的程序。 (8)将高级语言翻译成机器语言的程序。 (9)将指令从助记符号的形式翻译成二进制码的程序。 (10)计算机硬件与其底层软件的特定连接纽带。 供选择的词或短语: 1、汇编器 2、嵌入式系统 3、中央处理器(CPU) 4、编译器 5、操作系统 6、控制器 7、机器指令 8、台式机或个人计算机 9、主存储器 10、VLSI 答:(1)8,(2)3,(3)9,(4)6,(5)2, (6)10,(7)5,(8)4,(9)1,(10)7 计算机系统有哪些部分组成?硬件由哪些构成? 答:计算机系统硬件系统和软件系统组成。 硬件由控制器、存储器、运算器、输入设备和输出设备五大部件构成 1.9 冯·诺伊曼Von Neumann计算机的主要设计思想是什么? 略。 1.10 计算机硬件有哪些部件,各部件的作用是什么?

计算机组成原理实验指导及答案

计算机组成原理实验指导 实验一运算器实验 一、实验目的 ⒈掌握简单运算器的数据传输方式。 ⒉验证运算功能发生器(74LS181)及进位控制的组合功能。 二、实验要求 完成不带进位及带进位算术运算实验、逻辑运算实验,了解算术逻辑运算单元的运用。 三、实验原理 实验中所用的运算器数据通路如图7-1-1所示。其中运算器由两片74LS181以并/串形式构成8位字长的ALU。运算器的输出经过一个三态门(74LS245)以8芯扁平线方式和数据总线相连,运算器的2个数据输入端分别由二个锁存器(74LS273)锁存,锁存器的输入亦以8芯扁平线方式与数据总线相连,数据开关(INPUT DEVICE)用来给出参与运算的数据,经一三态门(74LS245)以8芯扁平线方式和数据总线相连,数据显示灯(BUS UNIT)已和数据总线相连,用来显示数据总线内容。 图7-1-1 运算器电原理图 图7-1-1中T2、T4为时序电路产生的节拍脉冲信号,通过连接时序启停单元时钟信号“”来获得,剩余均为电平控制信号。进行实验时,首先按动位于本实验装置右中侧的复位按钮使系统进入初始待令状态,在LED显示器闪动位出现“P.”的状态下,按【增

址】命令键使LED显示器自左向右第4位切换到提示符“L”,表示本装置已进入手动单元实验状态,在该状态下按动【单步】命令键,即可获得实验所需的单脉冲信号,而LDDR1、LDDR2、ALU-B、SW-B、S3、S2、S1、S0、CN、M各电平控制信号用位于LED显示器上方的26位二进制开关来模拟,均为高电平有效。 四、实验连线 图7-1-2 实验连线示意图 按图7-1-2所示,连接实验电路: ①总线接口连接:用8芯扁平线连接图7-1-2中所有标明“”或“”图 案的总线接口。 ②控制线与时钟信号“”连接:用双头实验导线连接图7-1-2中所有标明“”或“”图案的插孔(注:Dais-CMH的时钟信号已作内部连接)。 五、实验系统工作状态设定 在闪动的“P.”状态下按动【增址】命令键,使LED显示器自左向右第4位显示提示符“L”,表示本装置已进入手动单元实验状态。 在“L”状态下,如图7-1-3所示系统用位于实验系统“二进制开关单元”的26

计算机组成原理课后习题答案

作业解答 第一章作业解答 1.1 基本的软件系统包括哪些内容? 答:基本的软件系统包括系统软件与应用软件两大类。 系统软件是一组保证计算机系统高效、正确运行的基础软件,通常作为系统资源提供给用户使用。包括:操作系统、语言处理程序、数据库管理系统、分布式软件系统、网络软件系统、各种服务程序等。 1.2 计算机硬件系统由哪些基本部件组成?它们的主要功能是什么? 答:计算机的硬件系统通常由输入设备、输出设备、运算器、存储器和控制器等五大部件组成。 输入设备的主要功能是将程序和数据以机器所能识别和接受的信息形式输入到计算机内。 输出设备的主要功能是将计算机处理的结果以人们所能接受的信息形式或其它系统所要求的信息形式输出。 存储器的主要功能是存储信息,用于存放程序和数据。 运算器的主要功能是对数据进行加工处理,完成算术运算和逻辑运算。 控制器的主要功能是按事先安排好的解题步骤,控制计算机各个部件有条不紊地自动工作。 1.3 冯·诺依曼计算机的基本思想是什么?什么叫存储程序方式? 答:冯·诺依曼计算机的基本思想包含三个方面: 1) 计算机由输入设备、输出设备、运算器、存储器和控制器五大部件组成。 2) 采用二进制形式表示数据和指令。 3) 采用存储程序方式。 存储程序是指在用计算机解题之前,事先编制好程序,并连同所需的数据预先存入主存储器中。在解题过程(运行程序)中,由控制器按照事先编好并存入存储器中的程序自动地、连续地从存储器中依次取出指令并执行,直到获得所要求的结果为止。 1.4 早期计算机组织结构有什么特点?现代计算机结构为什么以存储器为中心? 答:早期计算机组织结构的特点是:以运算器为中心的,其它部件都通过运算器完成信息的传递。 随着微电子技术的进步,人们将运算器和控制器两个主要功能部件合二为一,集成到一个芯片里构成了微处理器。同时随着半导体存储器代替磁芯存储器,存储容量成倍地扩大,加上需要计算机处理、加工的信息量与日俱增,以运算器为中心的结构已不能满足计算机发展的需求,甚至会影响计算机的性能。为了适应发展的需要,现代计算机组织结构逐步转变为以存储器为中心。 1.5 什么叫总线?总线的主要特点是什么?采用总线有哪些好处? 答:总线是一组可为多个功能部件共享的公共信息传送线路。 总线的主要特点是共享总线的各个部件可同时接收总线上的信息,但必须分时使用总线发送信息,以保证总线上信息每时每刻都是唯一的、不至于冲突。 使用总线实现部件互连的好处: ①可以减少各个部件之间的连线数量,降低成本; ②便于系统构建、扩充系统性能、便于产品更新换代。 1.6 按其任务分,总线有哪几种类型?它们的主要作用是什么? 答:按总线完成的任务,可把总线分为:CPU内部总线、部件内总线、系统总线、外总线。 1.7 计算机的主要特点是什么? 答:计算机的主要特点有:①能自动连续地工作;②运算速度快;③运算精度高;④具有很强的存储能力

计算机组成原理参考答案

三、简答题 1 CPU中有哪几类主要寄存器。 2通道的基本功能是什么?具体有哪几种类型? 3、RISC指令系统的特点有哪些? 4、CPU中有哪几类主要寄存器?说明其功能。 5、简述引起流水线断流的三种原因及解决办法。 6、何谓DMA方式,为什么DMA方式比中断方式具有更高的IO效率? 7、一台机器的指令系统应当包含哪几类指令? 8、比较同步定时与异步定时的优缺点。 9、通道的基本功能是什么?CPU如何实现对通道的管理?通道如何实现对设备控制器的管理? 10、简述CPU的四种基本功能。 11、为什么DMA方式比中断方式具有更高的I/O效率? 12、磁盘存储器的技术指标有哪些? 13、通道的基本功能是什么?具体有哪几种类型? 14、比较同步定时和异步定时的稳定性缺点。 15、在操作系统中什么情况下需要进行进程调度? 16、选择寻址方式时主要考虑哪些因素? 17、寻址方式在指令格式中的表示方法通常有哪几种方法? 18、说明中断处理的过程,及中断优先级的意义。 19、为什么要对CRT屏幕不断进行刷新?要求刷新频率是多少?为达些目的,必须设置什么样的硬件? 20、说明外围设备的I/O控制方式分类及特点。 21、把外围设备接入计算机系统时,必须解决哪些问题? 四、计算题 CPU执行一段程序时,cache完成存取的次数为3800次,主存完成的次数为200次,已知cache存储周期为50ns,主存存储周期为250ns,求cache/主存系统的效率和平均访问时间。 答:CACHE的命中率:H=Nc/(Nc+Nm)=3800/(3800+200)=0.95 R=Tm/Tc=250ns/50ns=5 Cache-主存系统效率e为e=1/(r+(1-r)H)*100%=1/(5+(1-5)*0.95)=83.3% 平均访问时间为Ta为Ta=Tc/e=50ns/0.833=60ns

计算机组成原理实验指导书

实验一8位算术逻辑运算实验 一、实验目的 1、掌握算术逻辑运算器单元ALU(74LS181)的工作原理。 2、掌握简单运算器的数据传送通路组成原理。 3、验证算术逻辑运算功能发生器74LSl8l的组合功能。 4、按给定数据,完成实验指导书中的算术/逻辑运算。 二、实验内容 1、实验原理 实验中所用的运算器数据通路如图1.1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245 (U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUSl~6中的任一个相连,内部数据总线通过LZDO~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJl~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图1.1中算术逻辑运算功能发生器74LS18l(U3l、U32)的功能控制信号S3、S2、Sl、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LSl8l (U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDRl、LDDR2、ALUB’、SWB’以手动方式用二进制开关LDDRl、LDDR2、ALUB、SWB 来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB’、SWB’为低电平有效,LDDRl、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线

计算机组成实验指导书

计算机组成原理实验报告 班级___________姓名__________同组者_________成绩___________ 日期____________指导教师___________ 实验名称:1. 寄存器实验 实验目的:了解模型机中各种寄存器结构、工作原理及其控制方法。实验要求:利用CP226 实验仪上的K16..K23 开关做为DBUS 的数据,其它开关做为控制信号,将数据写入寄存器,这些寄存器包括累加器A,工作寄存器W, 数据寄存器组R0..R3,地址寄存器MAR,堆栈寄存器ST,输出寄存器OUT。实验电路:寄存器的作用是用于保存数据的,该模型机是8位的,因此在本模型机中大部寄存器是8 位的,标志位寄存器(Cy, Z)是二位的。 CP226 用74HC574 来构成寄存器。74HC574 的功能如下: 1. 在CLK的上升沿将输入端的数据打入到8 个触发器中

74HC574工作波图 实验内容及结果: 1.A,W 寄存器实验 寄存器A原理图 寄存器W 原理图 寄存器A,W 写工作波形图

系统清零和手动状态设定:K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入"Hand......"手动状态。在后面实验中实验模式为手动的操作方法不再详述。 (1)将55H写入A寄存器 置控制信号为: 按住STEP脉冲键,CK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A寄存器。放开STEP键,CK由低变高,产生一个上升沿,数据55H被写入A寄存器。 (2)将66H写入W寄存器 置控制信号为: 按住STEP脉冲键,CK由高变低,这时寄存器W 的黄色选择指示灯亮,表明选择W 寄存器。放开STEP 键,CK 由低变高,产生一个上升沿,数据66H 被写入W 寄存器。注意观察: 1.数据是在放开STEP键后改变的,也就是CK的上升沿数据被打入。 2.WEN,AEN为高时,即使CK有上升沿,寄存器的数据也不会改变。

计算机组成原理实验

计算机组成原理实验 实验名称:计算机组成原理 学院:信息学院 学号:20091060043 姓名:师勇杰 实验指导老师:周克峰 报告提交日期:2011-1

实验一时标系统的设置和组合 一、实验目的 1、了解时标系统的作用 2、会设计、组装简单的时标发生器 二、实验原理 时标系统主要由时钟脉冲发生器、启停电路和节拍脉冲发生器三部分组成成,结构如图1-1所示。 1、时钟脉冲发生器 主要由振荡电路、分频电路组成,其作用是产生一定频率的时钟脉冲,作为计算机中基准时钟信号。如图1-2所示。 图1-2 时钟脉冲发生器组成 2、启停电路

计算机是靠非常严格的节拍脉冲,按时间的先后次序一步一步地控制各部件工作的,所以,机器启停的标志是有无节拍脉冲,而控制节拍脉冲按一定的时序发生和停止,不能简单地用电源开关来实现。如图1-3所示。 图1-3 简单的启停电路 为了使机器可靠地工作,要求启停电路在机器启动或停机时,保证每次从规定的第一个脉冲开始启动,到最后一个脉冲结束才停机,并且必须保证第一个和最后一个脉冲的波形完整。如图1-4所示。 图1-4 利用维持阻塞原理的启停电路 3、节拍脉冲发生器 节拍脉冲发生器的作用是产生一序列的节拍电平和工作脉冲。节拍电平是保证计算机微

操作的时序性,工作脉冲是各寄存器数据的打入脉冲。本课程整机实验中一个周期的节拍脉冲波形如图1-5所示。其中的工作脉冲1m ~8m ,由节拍电平1Q ~4Q 与时钟脉冲m 按组合逻辑的方法组合得到,表达见图1-5中右侧列表所示。 图1-5 一个周期的工作脉冲波形 三、实验内容 参照时标系统的设计方法,用组合逻辑方法设计一个简单的节拍脉冲发生器,产生图1-6所示的节拍脉冲,并用单脉冲验证设计的正确性。在实验报告中画出完整电路,写出1W 、0W 和1N 的表达式。 图1-6 简单的节拍脉冲发生器一周期的波形 设计提示: 1、由波形图求出节拍脉冲1W 和0W 的表达式,进而组合成1N 的表达式。 2、注意节拍电平1T 和0T 的翻转时刻应在0M 下降沿与M 的上升沿同时出现的时刻。 3、注意D 触发器的触发翻转要求。 四、实验要求 做好实验预习,按实验报告规范准备好实验报告。特别强调,逻辑图上门电路应用图示符号,其它用方框图,引线归类画在一侧,并标上符号和引脚号;在组装时标系统时,先组

计算机组成原理实验指导

《计算机组成原理》实验指导

实验一监控程序与汇编语言程序设计实验 教学机的监控程序是用教学机的汇编语言实现的,运行在教学机的硬件系统之上。它的主要功能是支持把计算机终端或PC机仿真终端接入教学机系统,使用这样的设备执行输入/输出操作,运行教学机的有关程序,以更方便直观的形式支持教学机上的各项实验功能,提供教学机汇编语言的可用子程序。 监控程序提供类似PC机DOS系统下的Debug程序的功能,支持A、U、G、P、T、R、D和E共8个监控命令。 监控命令的格式为: 单字母的命令名后跟回车,或命令名后跟一个地址参数,或寄存器名(编号)参数。当有些命令运行时需要参数,但命令名后又不跟参数时,监控程序会从内存指定单元取一个默认的地址参数值,通常为该命令前一次运行后所接收地址。TEC—2机从终端接收地址、指令,数值时,均用最多4位的16进制数输入与显示,并且不能(或说不必)用跟字符h加以标志。 ⑴单条汇编命令A 格式:A[adr] 这里的[adr]表示此处的地址参数adr为任选项(但选择范围必须为0800H—0FFFH)。无此参数时,系统将取默认值。该规则下同。 功能:完成单条指令的汇编操作,把产生出来的TEC—2机的执行代码放入对应的内存单元中。命令名后的地址是头一条汇编语句的执行码的内存单元地址。每条语句汇编完成之后,系统将相应修改地址值,以便正确处理下条汇编语句。 在应该输入汇编语句时,不给出汇编语句而直接回车,则结束A命令的运行过程。 若汇编中发现语法错误,用ˆ指明出错位置后请求重新给出正确语句。 要说明,这里的单条汇编功能不很完善,例如不支持语句标号,也不能使用伪指令等。遇到这些问题,要求使用者直接使用机器码,并通过E命令将其送入相应内存单元。 ⑵反汇编命令U 格式:U[adr] 功能:每次从指定的(或默认的)地址反汇编15条命令,并将结果显示在终端屏幕上。反汇编完成之后,已将该命令的默认地址修改好。接下来再键入不带参数的U命令,保证接着从上一次反汇编的最后一条语句之后继续反汇编。 ⑶执行程序命令G 格式:G[adr] 功能:从指定的(或默认的)地址连续运行一个用户程序。为了使程序执行后能返回监控程序,要求每个程序的最后一条指令应为RET指令。 ⑷单指令执行程序命令T和P 格式:P[adr] T[adr] 功能:从指定地址(或PC中的当前地址)开始单条执行程序指令。通常情况下,每按一次T或P将执行一条指令。T和P命令的区别是,T总是执行单条指令,但执行P命令时,则把每一个CALL语句连同被调用的子程序一次执行完成。每次执行后均显示所有通用寄存器及状态寄存器的内容,并反汇编出下一条将要执行的指令。由于P和T命令是通过设置断点来实现的,而在ROM区不能设置断点,故不能用它们来执行固化在ROM区中的监控程序。 ⑸显示/ 修改寄存器内容的命令R 格式:R[reg] 其中reg为寄存器名R0——R15或SP(R4),PC(R5),IP(R6),当R命令不带带寄

计算机组成原理实验指导书-CPTH

DJ-CPTH 计算机组成原理实验系统 实验指导 阜阳师范学院计算机与信息学院 2008年3月

目录 目录 (1) 实验一认识实验装置 (2) 实验二寄存器实验 (10) 实验三运算器实验 (18) 实验四数据输出和移位实验 (22) 实验五存储器实验 (26) 实验六uPC和PC 实验 (32) 实验七微程序存储器uM实验 (37) 实验八模型机综合实验一 (39) 实验九模型机综合实验二 (46) 实验十微程序设计实验 (55) 实验十一扩展实验 (60) 附录1:CPTH 集成开发环境使用 (63) 附录2:指令/微指令表(insfile1.mic) (68) 附录3:实验用芯片介绍 (79)

实验一认识实验装置 实验目的:了解实验仪的特点及组成;掌握实验仪键盘的使用。 实验器材:DJ-CPTH实验仪 实验要求: 1、认真填写预习报告,包括对实验仪器组成的理解、实验操作步骤等。 2、实验之后写出实验报告,包括实验过程中遇到的问题,解决方法,实验后的心得体会及对 该次实验的建议与意见。 实验原理及步骤: 一、DJ-CPTH特点 1、采用总线结构 总线结构的计算机具有结构清晰,扩展方便等优点。DJ-CPTH实验系统使用三组总线即地址总线ABUS、数据总线DBUS、指令总线IBUS和控制信号,CPU、主存、外设和管理单片机等部件之间通过外部数据总线传输,CPU内部则通过内部数据总线传输信息。各部件之间,通过三态缓冲器作接口连接,这样一方面增强总线驱动能力,另一方面在模型机停机时,三态门输出浮空,能保证不管模型机的CPU工作是否正常,管理单片机总能读/写主存或控存。 2、计算机功能模块化设计 DJ-CPTH为实验者提供运算器模块ALU,众多寄存器模块(A,W,IA ,ST,MAR,R0…R3等),程序计数器模块PC,指令部件模块IR,主存模块EM,微程序控制模块〈控存〉uM,微地址计数器模块UPC,组合逻辑控制模块及I/O等控制模块。各模块间的电源线、地线、地址总线和数据总线等已分别连通,模块内各芯片间数据通路也已连好,各模块的控制信号及必要的输出信号已被引出到主板插孔,供实验者按自己的设计进行连接。 3、智能化控制 系统在单片机监控下,管理模型机运行和读写,当模型机停机时,实验者可通过系统键盘,读写主存或控存指定单元的内容,使模型机实现在线开发。模型机运行时,系统提供单步一条微指令(微单步)、单步一条机器指令(程单步),连续运行程序及无限止暂停等调试手段,能动态跟踪数据,流向、捕捉各种控制信息,实时反映模型机现场,使实验者及时了解程序和微程序设计的正确性,便以修改。 4、提供两种实验模式 ①手动运行“Hand……”:通过拨动开关和发光二极管二进制电平显示,支持最底层的手动操作方式的输入/输出和机器调试。 ②自动运行:通过系统键盘及液晶显示器或PC机,直接接输入或编译装载用户程序<机器码程序和微程序>,实现微程序控制运行,运用多种调试手段运行用户程序,使实验者对计算机组成原理一目了然。

计算机组成原理实验教程

计算机组成原理实验教程 计算机组成原理实验是计算机科学与技术专业中非常重要的一门实 践课程。通过实验,学生可以深入了解计算机的基本构成和工作原理,并且培养实际操作的能力。本教程旨在提供一系列详细的实验指导, 帮助学生顺利完成计算机组成原理实验。 序言 计算机组成原理是计算机科学与技术专业的一门核心课程,作为理 论和实践相结合的实验教程,对于学生深入了解计算机的内部结构和 工作原理至关重要。本教程将介绍计算机组成原理实验的基本内容和 实验报告的撰写要求,帮助学生更好地掌握实验技巧和理论知识。 实验一:数字逻辑电路设计与仿真 本实验旨在让学生学会使用Verilog HDL设计数字逻辑电路,并通 过仿真验证电路的正确性。首先,学生需要了解Verilog HDL的基本语法和仿真工具的使用方法。然后,根据实验要求,设计并仿真一个简 单的数字逻辑电路,如全加器或比较器。最后,学生需要撰写实验报告,详细介绍电路设计的过程、仿真结果和分析。 实验二:单周期CPU设计与实现 本实验要求学生设计并实现一个单周期的CPU。在实验过程中,学 生需要了解指令的执行过程和控制信号的生成原理,设计CPU的数据 通路和控制逻辑,并编写Verilog HDL代码进行实现。实验完成后,学

生需要进行功能仿真和时序仿真,验证CPU的正确性和性能。实验报 告应包括CPU设计的思路、关键问题的解决方法和仿真结果的分析。 实验三:多周期CPU设计与实现 本实验要求学生进一步完善CPU的设计,实现一个多周期的CPU。在实验过程中,学生需要改进单周期CPU的设计,引入时序控制信号 和状态机,实现指令的多周期执行。实验完成后,学生需要进行功能 仿真和时序仿真,验证CPU的正确性和性能提升。实验报告应包括多 周期CPU设计的过程、关键问题的解决方法和仿真结果的分析。 实验四:流水线CPU设计与实现 本实验要求学生设计并实现一个流水线CPU。在实验过程中,学生 需要了解流水线技术的基本原理和数据冒险的处理方法,设计流水线CPU的数据通路和控制逻辑。实验完成后,学生需要进行功能仿真和 时序仿真,验证流水线CPU的正确性和性能提升。实验报告应包括流 水线CPU设计的思路、关键问题的解决方法和仿真结果的分析。 结语 计算机组成原理实验是计算机科学与技术专业的重要课程,通过实 验可以加深对计算机内部结构和工作原理的理解。本教程提供了一系 列实验指导,帮助学生顺利完成实验,并且培养实际操作的能力。希 望学生能够在实验中积极思考和探索,不断提升自己的实践能力和创 新思维。

计算机组成原理-作业参考答案

第1章计算机系统概论 5. 冯诺依曼计算机的特点是什么 解:冯诺依曼计算机的特点是:P8 (1)计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; (2)指令和数据以同同等地位存放于存储器内,并可以按地址访问; (3)指令和数据均用二进制表示; (4)指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码 用来表示操作数在存储器中的位置; (5)指令在存储器中顺序存放,通常自动顺序取出执行; (6)机器以运算器为中心(原始冯诺依曼机)。 7. 解释下列概念:主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:课本P9-10 (1)主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 (2)CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早 期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了Cache)。 (3)主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作 存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 (4)存储单元:可存放一个机器字并具有特定存储地址的存储单位。 (5)存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位, 又叫存储基元或存储元,不能单独存取。 (6)存储字:一个存储单元所存二进制代码的逻辑单位。 (7)存储字长:一个存储单元所存储的二进制代码的总位数。 (8)存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 (9)机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器 位数有关。 (10)指令字长:机器指令中二进制代码的总位数。 8. 解释下列英文缩写的中文含义:CPU、PC、IR、CU、ALU、ACC、MQ、X、MAR、

计算机组成原理习题参考答案

第3章习题参考答案 1、设有一个具有20位地址和32位字长的存储器,问 (1) 该存储器能存储多少字节的信息? (2) 如果存储器由512K ×8位SRAM 芯片组成,需要多少片? (3) 需要多少位地址作芯片选择? 解: (1) 该存储器能存储:字节4M 8 32 220=⨯ (2) 需要 片88 232 28512322192020=⨯⨯=⨯⨯K (3) 用512K ⨯8位的芯片构成字长为32位的存储器,则需要每4片为一组进行字 长的位数扩展,然后再由2组进行存储器容量的扩展。所以只需一位最高位地址进行芯片选择。 2、已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位的DRAM 芯片组成该机所允许的最大主存空间,并选用内存条结构形式,问; (1) 若每个内存条为16M ×64位,共需几个内存条? (2) 每个内存条内共有多少DRAM 芯片? (3) 主存共需多少DRAM 芯片? CPU 如何选择各内存条? 解: (1) 共需 条464 1664 226=⨯⨯M 内存条 (2) 每个内存条内共有 328 464 16=⨯⨯M M 个芯片 (3) 主存共需多少1288 464 648464226=⨯⨯=⨯⨯M M M 个RAM 芯片, 共有4个内存条,故 CPU 选择内存条用最高两位地址A 24和A 25通过2:4译码器实现;其余的24根 地址线用于内存条内部单元的选择。 3、用16K ×8位的DRAM 芯片构成64K ×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设存储器读/写周期为0.5μS ,CPU 在1μS 内至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? 解: (1) 用16K ×8位的DRAM 芯片构成64K ×32位存储器,需要用16448 1632 64=⨯=⨯⨯K K 个芯片,其中每4片为一组构成16K ×32位——进行字长位 数扩展(一组内的4个芯片只有数据信号线不互连——分别接D 0~D 7、D 8~D 15、

计算机组成原理课后答案

计算机组成原理课后答案 习题解答 第一章思考题与习题 1.什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 计算机系统是指计算机硬件、软件和数据通信设备的物理或逻辑的综合体。 硬件即指计算机的实体部分。 软件是由计算机运行所需的程序及相关文档 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要 4.如何理解计算机组成和计算机体系结构? 计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,即概念性的结构与功能特性,通常是指用机器语言编程的程序员所看到的传统机器的属性,包括指令集、数据类型、存储器寻址技术、I/O 机理等等 计算机组成是指如何实现计算机体系结构所体现的属性,它包含了许多对程序员来说是透明的硬件细节。 5.冯·诺依曼计算机的特点是什么? (1) 计算机由运算器、存储器、控制器和输入设备、输出设备五大部件组成 (2) 指令和数据以同等的地位存放于存储器内,并可以按地址寻访 (3) 指令和数据均可以用二进制代码表示 (4) 指令由操作码和地址码组成 (5) 指令在存储器内按顺序存放。 (6) 机器以运算器为中心。 6.画出计算机硬件组成框图,说明各部件的作用及计算机硬件的主要技术指标。 硬件的主要技术指标:

(1) 机器字长:指 CPU 一次能处理数据的位数,通常与 CPU 的寄存器位数有关 (2) 存储容量:包括主存容量和辅存容量,存放二进制代码的总数=存储单元个数×存储字长 (3) 运算速度:主频、Gibson 法、MIPS 每秒执行百万条指令、CPI 执行一条指令所需时钟周期数、FLOPS 每秒浮点运算次数7.解释下列概念:主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 主机——是计算机硬件的主体部分,由CPU+MM(主存或内存)组成; CPU——中央处理器,是计算机硬件的核心部件,由运算器+控制器组成; 存储字长——存储器一次存取操作的最大位数; 存储容量——存储器中可存二进制代码的总量; 机器字长——CPU能同时处理的数据位数;等于处理器内部寄存器位数 指令字长——一条指令包含的二进制代码位数; 8.解释下列英文代号:CPU、PC、IR、CU、ALU、ACC、MQ、X、MAR、MDR、I/O、MIPS、CPI、FLOPS。 CPU: central processing unit 中央处理器 PC: program counter 程序计数器 IR: instruction register 指令寄存器 CU: control unit 控制单元 ALU: arithmetic logic unit 算术逻辑运算单元 MAR: memory address register 存储器地址寄存器 MDR: memory data register 存储器数据寄存器 I/O: input/output e quipment 输入与输出设备 MIPS: million instruction per second 每秒执行百万条指令

计算机组成原理课后习题参考答案

计算机组成原理答案 第一章计算机系统概论 1.比较数字计算机和模拟计算机的特点。 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的; 数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。 两者主要区别见P1 表1.1。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。 通用计算机又分为巨型机、大型机、中型机、小型机、微型机和单片机六类。 分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、指令系统规模和机器价格等因素。 4.冯. 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯. 诺依曼型计算机的主要设计思想是:存储程序和程序控制。 存储程序:将解题的程序(指令序列)存放到存储器中; 程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。 主要组成部分有:(控制器、运算器)(CPU的两部分组成)、存储器、输入设备、输出设备(I/O设备)。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB、MB、GB来度量,存储容量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。 单元地址:简称地址,在存储器中每个存储单元都有唯一的地址编号,称为单元地址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。 指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序? 解:指令:计算机所执行的每一个基本的操作。 程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。 7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的信息即为数据信息。 8.什么是内存?什么是外存?什么是CPU?什么是适配器?简述其功能。 解:内存:又称主存(主存储器,内存储器)一般由半导体存储器构成,装在底版上,可直接和CPU交换信息的存储器称为内存储器,简称内存。用来存放经常使用的程序和数据。外存:为了扩大存储容量,又不使成本有很大的提高,在计算机中还配备了存储容量更大的

计算机组成原理实验指导书

计算机组成原理实验指导书 管军霖 桂林电子科技大学 计算机科学与工程学院 2014.9

目录 实验一 8位算术逻辑运算实验 (1) 实验二带进位控制8位算术逻辑运算实验 (5) 实验三 16位算术逻辑运算实验 (8) 实验四移位运算器实验 (12) 实验五存储器实验 (14) 实验六微控制器实验 (17) 实验七基本模型机的设计与实现 (25)

实验前说明 本章将详细介绍每个实验的实验目的、实验原理、软硬件的设计方法等,在实验前实验者必须重温计算机组成原理前序课程《数字逻辑》,它是完成本章实验的基础。通过本章实验让实验者加深对所学课程的理论知识的理解,力图使实验者的实验动手能力与综合能力进一步提高,同时可以完成对学生阅读计算机硬件逻辑图的综合培训。 在D V C C系列实验计算机上进行实验时,部分实验线路需要实验者自己连接,连接时,单个信号线相连时,选用单股实验导线,根据实验中的连线要求,将对应信号线相连;多个信号线相连时,选用排线(4芯、5芯、6芯、8芯),根据实验中的连线要求,将对应的信号插座连接起来,凡是多芯信号插座,都用一个白色小圆点作为第一脚的标志,只要一对一就行。 做实验前跳线设置: (1)、J20,J21,J22,ZI2,CN4 CN0接上短路片, (2)、JJ23,J24,J25,J26接左边; (3)、J27,J28 右边; (4)、J29不接; (5)、JA1,JA2,JA3,JA4置“高阻”; (6)、JA5置“接通”; (7)、JA6置“手动”; (8)、JA8置“微程序”

实验一8位算术逻辑运算实验 一、实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74L S181的组合功能。 二、实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片 74L S181以并/串形成8位字长的A L U构成。运算器的输出经过一个三态门 74L S245(U33)到内部数据总线B U S D0~D7插座B U S1~2中的任一个(跳线器J A3为高阻时为不接通),内部数据总线通过L Z D0~L Z D7显示灯显示;运算器的两个数据输入端分别由二个锁存器74L S273(U29、U30)锁存,两个锁存器的输入并联后连至内部总线B U S,实验时通过8芯排线连至外部数据总线E X D0~D7插座E X J1~E X J3中的任一个;参与运算的数据来自于8位数据开并K D0~K D7,并经过一三态门74L S245(U51)直接连至外部数据总线E X D0~E X D7,通过数据开关输入的数据由L D0~L D7显示。 图中算术逻辑运算功能发生器74L S181(U31、U32)的功能控制信号S3、S2、S1、S0、C N、M并行相连后连至6位功能开关,以手动方式用二进制开关S3、S2、S1、S0、C N、M来模拟74L S181(U31、U32)的功能控制信号S3、S2、S1、S0、C N、M;其它电平控制信号L D D R1、L D D R2、A L U B`、S W B`以手动方式用二进制开关L D D R1、L D D R2、A L U B、S W B来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中A L U B`、S W B`为低电平有效,L D D R1、L D D R2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端S D相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。 根据实验原理详细接线如下: 1、J20,J21,J22,接上短路片, 2、J24,J25,J26接左边; 3、J27,J28 右边; 4、J23 置右边T4选“SD” 5、JA5 置“接通”; 6、JA6 置“手动”; 7、JA3 置“接通”; 8、JA1,JA2,JA4置“高阻”; 9、JA8 置上面“微地址” 10、EXJ1接BUS3 11、开关CE 、AR 置1

相关主题
文本预览
相关文档 最新文档