当前位置:文档之家› SOPC课程设计实验报告

SOPC课程设计实验报告

SOPC课程设计实验报告
SOPC课程设计实验报告

SOPC课程设计实验报告基于SOPC的警示灯设计

2013电子信息工程3班

李婕20134557

罗丹妮20134563

一、设计目的

1、熟悉掌握SOPC的基本流程

2、设计一个警示灯并满足基本要求

3、通过设计发现问题并解决

二、设计设备

1、硬件:PC机、SOPC-NIOS II EDA/SOPC系统开发平台

2、软件:QUARTUS II、SOPC Builder、NIOS II ID E

三、设计内容

?初始状态为红灯(LED2)熄灭,绿灯(LED1)点亮,数码管显示为0。

?当按键按下,红灯(LED2)闪烁,绿灯(LED1)熄灭,同时蜂鸣器响起,数码管开始倒计时9S,此状态持续时间为9s。

?9s后,恢复初始状态。

四、设计步骤

1、使用Quartus II建立一个工程文件和顶层文件;

2、使用SOPC Builder建立一个简单Nios II硬件系统

1)启动SOPC Builder

2)指定目标FPGA

3)添加NiosII内核及其他外设

A、添加NiosII、SRAM、JTAG-UART、Avalon总线的I P

B、添加一个2位的输入型PIO作为按键key

C、添加两个1位的输出型PIO作为 led1及led2的输出端口

D、添加一个1位的输出型PIO作为蜂鸣器的输入端口A

E、添加一个3位的输出型PIO作为数码管的位选sel

F、添加一个8位的输出型PIO作为数码管的段选dat

4)指定基地址和中断优先级

5)设置NiosII复位和异常地址

6) 编译生成NiosII系统

SOPC Builder行程图如下:

3、在Quartus II中建立一个蜂鸣器

1)用VHDL语言编写蜂鸣器程序

2)编译成功后Creat symbol,生成Project sing

4、在Quartus II中编译Nios II硬件系统并生成配置文件

1)在Quartus II加入Nios II系统符号到顶层文件

2)给各端口加入输入输出引脚,并重命名

3)设置参数

4)编译顶层文件

5)分配管脚

6)再次编译

5、在Nios II IDE中建立C/C++工程,编写用户程序

6、编译用户程序

7、下载.SOF至FPGA,运行程序,观察结果

五、设计程序

蜂鸣器程序(VHDL):

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY sing1 IS

PORT(

CLK:IN STD_LOGIC;

p:IN STD_LOGIC;

-- DIGIT:BUFFER STD_LOGIC_VECTOR(6 DOWNTO 0);

SPEAKER:OUT STD_LOGIC);

END ENTITY;

ARCHITECTURE SONG OF sing1 IS

SIGNAL DRIVER,ORIGIN:STD_LOGIC_VECTOR(12 DOWNTO 0); SIGNAL COUNTER:INTEGER RANGE 0 TO 140;

SIGNAL COUNTER1:INTEGER RANGE 0 TO 3;

SIGNAL COUNTER2:INTEGER RANGE 1 TO 10000000; SIGNAL DIGIT :STD_LOGIC_VECTOR(6 DOWNTO 0); SIGNAL COUNT :STD_LOGIC_VECTOR(1 DOWNTO 0); SIGNAL CARRIER,CLK_4MHZ,CLK_4HZ:STD_LOGIC;

BEGIN

PROCESS(CLK)

BEGIN

IF CLK'EVENT AND CLK='1' THEN

IF COUNTER1=1 THEN CLK_4MHZ<='1';

COUNTER1<=2;

ELSIF COUNTER1=3 THEN CLK_4MHZ<='0';

COUNTER1<=0;

ELSE COUNTER1<=COUNTER1+1;

END IF;

IF COUNTER2=5000000 THEN CLK_4HZ<='1';

COUNTER2<=5000001;

ELSIF COUNTER2=10000000 THEN CLK_4HZ<='0';

COUNTER2<=1;

ELSE COUNTER2<=COUNTER2+1;

END IF;

END IF;

END PROCESS;

PROCESS(CLK_4MHZ)

BEGIN

IF CLK_4MHZ'EVENT AND CLK_4MHZ='1' THEN IF DRIVER="1111111111111"THEN

CARRIER<='1';

DRIVER<=ORIGIN;

ELSE

DRIVER<=DRIVER+1;

CARRIER<='0';

END IF;

END IF;

END PROCESS;

PROCESS(CARRIER)

BEGIN

if(p='1')then

IF CARRIER'EVENT AND CARRIER='1' THEN COUNT<=COUNT+1;

IF COUNT="00"THEN

SPEAKER<='1';

ELSE

SPEAKER<='0';

END IF;

END IF;

end if;

END PROCESS;

PROCESS(CLK_4HZ)

BEGIN

IF CLK_4HZ'EVENT AND CLK_4HZ='1' THEN IF COUNTER=140 THEN

COUNTER<=0;

ELSE COUNTER<=COUNTER+1;

END IF;

END IF;

CASE COUNTER IS

WHEN 2 =>DIGIT<="0000011"; WHEN 3 =>DIGIT<="0000011"; WHEN 4 =>DIGIT<="0000101"; WHEN 5 =>DIGIT<="0000101"; WHEN 6 =>DIGIT<="0000101"; WHEN 7 =>DIGIT<="0000110"; WHEN 8 =>DIGIT<="0001000"; WHEN 9 =>DIGIT<="0001000"; WHEN 10 =>DIGIT<="0001000"; WHEN 11 =>DIGIT<="0010000"; WHEN 12 =>DIGIT<="0000110"; WHEN 13 =>DIGIT<="0001000"; WHEN 14 =>DIGIT<="0000101"; WHEN 15 =>DIGIT<="0000101"; WHEN 16 =>DIGIT<="0101000"; WHEN 17 =>DIGIT<="0101000"; WHEN 18 =>DIGIT<="0101000"; WHEN 19 =>DIGIT<="1000000"; WHEN 20 =>DIGIT<="0110000"; WHEN 21 =>DIGIT<="0101000"; WHEN 22 =>DIGIT<="0011000"; WHEN 23 =>DIGIT<="0101000"; WHEN 24 =>DIGIT<="0010000"; WHEN 25 =>DIGIT<="0010000"; WHEN 26 =>DIGIT<="0010000"; WHEN 27 =>DIGIT<="0010000"; WHEN 28 =>DIGIT<="0010000"; WHEN 29 =>DIGIT<="0010000"; WHEN 30 =>DIGIT<="0000011"; WHEN 31 =>DIGIT<="0000000"; WHEN 32 =>DIGIT<="0010000"; WHEN 33 =>DIGIT<="0010000"; WHEN 34 =>DIGIT<="0010000"; WHEN 35 =>DIGIT<="0011000"; WHEN 36 =>DIGIT<="0000111"; WHEN 37 =>DIGIT<="0000111"; WHEN 38 =>DIGIT<="0000110"; WHEN 39 =>DIGIT<="0000110"; WHEN 40 =>DIGIT<="0000101"; WHEN 41 =>DIGIT<="0000101"; WHEN 42 =>DIGIT<="0000101"; WHEN 43 =>DIGIT<="0000110";

WHEN 46 =>DIGIT<="0010000"; WHEN 47 =>DIGIT<="0010000"; WHEN 48 =>DIGIT<="0000011"; WHEN 49 =>DIGIT<="0000011"; WHEN 50 =>DIGIT<="0001000"; WHEN 51 =>DIGIT<="0001000"; WHEN 52 =>DIGIT<="0000110"; WHEN 53 =>DIGIT<="0000101"; WHEN 54 =>DIGIT<="0000110"; WHEN 55 =>DIGIT<="0001000"; WHEN 56 =>DIGIT<="0000101"; WHEN 57 =>DIGIT<="0000101"; WHEN 58 =>DIGIT<="0000101"; WHEN 59 =>DIGIT<="0000101"; WHEN 60 =>DIGIT<="0000101"; WHEN 61 =>DIGIT<="0000101"; WHEN 62 =>DIGIT<="0000101"; WHEN 63 =>DIGIT<="0000101"; WHEN 64 =>DIGIT<="0011000"; WHEN 65 =>DIGIT<="0011000"; WHEN 66 =>DIGIT<="0011000"; WHEN 67 =>DIGIT<="0101000"; WHEN 68 =>DIGIT<="0000111"; WHEN 69 =>DIGIT<="0000111"; WHEN 70 =>DIGIT<="0010000"; WHEN 71 =>DIGIT<="0010000"; WHEN 72 =>DIGIT<="0000110"; WHEN 73 =>DIGIT<="0001000"; WHEN 74 =>DIGIT<="0000101"; WHEN 75 =>DIGIT<="0000101"; WHEN 76 =>DIGIT<="0000101"; WHEN 77 =>DIGIT<="0000101"; WHEN 78 =>DIGIT<="0000101"; WHEN 79 =>DIGIT<="0000101"; WHEN 80 =>DIGIT<="0000011"; WHEN 81 =>DIGIT<="0000101"; WHEN 82 =>DIGIT<="0000011"; WHEN 83 =>DIGIT<="0000011"; WHEN 84 =>DIGIT<="0000101"; WHEN 85 =>DIGIT<="0000110"; WHEN 86 =>DIGIT<="0000111"; WHEN 87 =>DIGIT<="0010000";

WHEN 90 =>DIGIT<="0000110"; WHEN 91 =>DIGIT<="0000110"; WHEN 92 =>DIGIT<="0000110"; WHEN 93 =>DIGIT<="0000110"; WHEN 94 =>DIGIT<="0000101"; WHEN 95 =>DIGIT<="0000110"; WHEN 96 =>DIGIT<="0001000"; WHEN 97 =>DIGIT<="0001000"; WHEN 98 =>DIGIT<="0001000"; WHEN 99 =>DIGIT<="0010000"; WHEN 100=>DIGIT<="0101000"; WHEN 101=>DIGIT<="0101000"; WHEN 102=>DIGIT<="0101000"; WHEN 103=>DIGIT<="0011000"; WHEN 104=>DIGIT<="0010000"; WHEN 105=>DIGIT<="0010000"; WHEN 106=>DIGIT<="0011000"; WHEN 107=>DIGIT<="0010000"; WHEN 108=>DIGIT<="0001000"; WHEN 109=>DIGIT<="0001000"; WHEN 110=>DIGIT<="0000110"; WHEN 111=>DIGIT<="0000101"; WHEN 112=>DIGIT<="0000011"; WHEN 113=>DIGIT<="0000011"; WHEN 114=>DIGIT<="0000011"; WHEN 115=>DIGIT<="0000011"; WHEN 116=>DIGIT<="0001000"; WHEN 117=>DIGIT<="0001000"; WHEN 118=>DIGIT<="0000110"; WHEN 119=>DIGIT<="0001000"; WHEN 120=>DIGIT<="0000110"; WHEN 121=>DIGIT<="0000011"; WHEN 122=>DIGIT<="0000011"; WHEN 123=>DIGIT<="0010000"; WHEN 124=>DIGIT<="0000011"; WHEN 125=>DIGIT<="0000101"; WHEN 126=>DIGIT<="0000110"; WHEN 127=>DIGIT<="0001000"; WHEN 128=>DIGIT<="0000101"; WHEN 129=>DIGIT<="0000101"; WHEN 130=>DIGIT<="0000101"; WHEN 131=>DIGIT<="0000101";

WHEN 134=>DIGIT<="0000101"; WHEN 135=>DIGIT<="0000101"; WHEN 136=>DIGIT<="0000000"; WHEN 137=>DIGIT<="0000000"; WHEN 138=>DIGIT<="0000000"; WHEN 139=>DIGIT<="0000000"; WHEN OTHERS=>DIGIT<="0000000";

END CASE;

CASE DIGIT IS

WHEN "0000011"=>ORIGIN<="0100001001100";

WHEN "0000101"=>ORIGIN<="0110000010001";

WHEN "0000110"=>ORIGIN<="0111000111110";

WHEN "0000111"=>ORIGIN<="1000000101101";

WHEN "0001000"=>ORIGIN<="1000100010001";

WHEN "0010000"=>ORIGIN<="1001010110010";

WHEN "0011000"=>ORIGIN<="1010000100101";

WHEN "0101000"=>ORIGIN<="1011000001000";

WHEN "0110000"=>ORIGIN<="1011100011110";

WHEN "1000000"=>ORIGIN<="1100010001000";

WHEN OTHERS=>ORIGIN<="1111111111111";

END CASE;

END PROCESS;

END SONG;

警示灯总程序:

#include

#include

#include

#include

#include "system.h"

#include "altera_avalon_pio_regs.h"

#include "alt_types.h"

#include "sys/alt_irq.h"

int num;

static void Button_ISR_Init(void);

static void Button_Irq_Handler(void* context,alt_u32 id);

alt_u8 segtab[10]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f}; //0~9段码static void display(int num);

//按键初始化

static void Button_ISR_Init(void)

{

IOWR_ALTERA_AVALON_PIO_IRQ_MASK(KEY_BASE,0xff); //允许8个按键中断IOWR_ALTERA_AVALON_PIO_EDGE_CAP(KEY_BASE,0); //清除中断标志寄存器alt_irq_register(KEY_IRQ,NULL,Button_Irq_Handler); //注册中断

}

static void display(int num)

{

IOWR_ALTERA_AVALON_PIO_DATA(SEL_BASE,0); //数码管位选

IOWR_ALTERA_AVALON_PIO_DATA(DAT_BASE,segtab[num]); //显示倒计时usleep(1000);

}

/*------------------------------------------------------------------

***********************按键中断处理函数****************************

*-----------------------------------------------------------------*/

static void Button_Irq_Handler(void* context,alt_u32 id)

{

int i;

for(i=0;i<9;i++)

{

num=9-i;

display(num);

IOWR_ALTERA_AVALON_PIO_DATA(A_BASE, 1);//蜂鸣器响起IOWR_ALTERA_AVALON_PIO_DATA(LED1_BASE, 0);

IOWR_ALTERA_AVALON_PIO_DATA(LED2_BASE, 1);

usleep(500000);

IOWR_ALTERA_AVALON_PIO_DATA(LED2_BASE, 0);

usleep(500000);

}

IOWR_ALTERA_AVALON_PIO_DATA(A_BASE, 0);//蜂鸣器关闭IOWR_ALTERA_AVALON_PIO_EDGE_CAP(KEY_BASE,0);

num=0;

display(num);

}

int main(void)

{

printf("Begin!\n");

Button_ISR_Init(); //按键中断初始化

while(1)

{

usleep(100000);

IOWR_ALTERA_AVALON_PIO_DATA(LED1_BASE, 1);

IOWR_ALTERA_AVALON_PIO_DATA(LED2_BASE, 0);

num=0;

display(num);

}

return 0;

}

六、设计结果

按键未按下时,处于初始状态:LED1亮,LED2灭,数码管显示为0,蜂鸣器未启动;按键按下之后,LED1灭,LED2闪烁,数码管进入9秒倒计时并且蜂鸣器响;9秒后恢复初始状态。七、设计问题

设计中遇到了不少问题:

一开始因为延迟原因使得蜂鸣器音乐间断,后来将延迟时间缩短后问题解决;

然后在数码管动态显示时,也存在延时问题,按下按键后数码管在一秒后才进入倒计时,修改程序后问题解决;

八、心得感受

通过本次设计,我们更加熟悉C语言和SOPC,也在自己动手设计中发现了平时所没遇到的很多问题,包括硬件和软件方面的,有一部分是粗心,有一部分确实是不够了解这门技术;因此在设计过程中,我们不断和其他小伙伴探讨后解决了问题,并从他们那里学到了不少东西。

SOPC课程设计实验报告--基于 NIOS 的 μCOS-II 实验

FPGA-CPLD原理及应用课程设计报告题目:基于NIOS的μC/OS-II实验 学院:信息与电子工程学院 专业:电子科学与技术 学号: 姓名: 指导老师: 时间:2013-7-15~2013-7-20

一、摘要 本实验项目使用Quartus II、SOPC Builder和Nios II EDS从零开始构建一个能够在DE2-115实验平台上运行的μC/OS-II操作系统的Nios II系统。初学者可以借此范例熟悉Quartus II、SOPC Builder、Nios II EDS的使用,并且了解基于FPGA的嵌入式系统开发流程。 关键词:SOPC Builder Nios II DE2Nios II EDS 二、设计要求 从零开始建立一个基于Nios II的μC/OS-II应用实验系统(也可以认为是一个Nios II+μC/OS-II的应用框架)具有以下一些作用。 (1)读者可以借助SOPC Builder工具自行对Nios II软核处理器进行配置。 (2)很多范例都是纯硬件的VHDL代码,需要自行从零开始建立Nios II 系统,不能够直接使用Altera公司已经建立好的Nios II系统。 (3)DE2-115并非Altera公司原创的开发板,而是友晶科技ODM的电路板,很多外围设备都与Altera提供的电路板不一样,所以很多Altera手册中范例都无法执行,必须要有自己从硬件到软件建立系统的能力,将来才有办法将Altera 提供的范例移植到DE2-115上执行并做到最佳化。 三、设计内容” 1、SOPC Builder硬件建立 SOPC Builder是在Quartus II里的SOPC Builder进行的,先建立工程在SOPC Builder里添加硬件,包括CPU,PLL,onchip_memory,SSRAM,SDRAM Tristate Bridge,Flash,JTAG UART,UART,Timer System ID 2、Quartus II硬件处理 硬件会自动建立一个顶层模块,通过建一个原理图来对对应的硬件进行输入输出的添加,再锁定引脚,编译工程,硬件下载。 3、Nios II DE2嵌入软件编写 在Nios II里建立工程,选择相应的模块,编写需要嵌入的软件,添加缺少的头文件对应的宏定义,编译工程,进行软件下载,在观察结果。 四、设计步骤 1、打开Quartus II新建工程

java课程设计报告书封面格式

存档资料成绩: 广西师范大学漓江学院 课程设计报告书 课程名称:面向对象程序设计课程设计 设计题目:多功能计算器 所在系部:理学系 班级:11计算机 学号: 学生姓名: 指导教师: 2012年12 月25 日

课程设计(论文)评阅意见 序号项目 等级 优秀良好中等及格不及格 1 课程设计态度及出勤情况 2 任务完成程度 3 设计中创新性 4 论文书写规范化 综合评定等级 课程设计软件演示及答辩成绩 序号项目 等级 优秀良好中等及格不及格 1 演示过程完成情况 2 对软件代码熟悉情况 3 回答问题准确性和逻辑性 综合评定等级 课程设计软件界面及功能设计成绩 序号项目 等级 优秀良好中等及格不及格 1 界面美观及可操作性 2 功能实现情况及创新性 3 代码的可读性和可维护性 综合评定等级 评阅人 年月日

课程设计任务书 一、本课程设计的目的 1.使学生能够更好地掌握Java程序设计语言和理解面向对象程序设计的基本概念与方法; 2.掌握类和对象的创建和使用,理解面向对象的封装性、继承性、多态性和面向接口编程的程序设计思想,学会利用Java语言和面向对象编程方法解决一般应用问题; 3.从实践中累积经验、培养学生分析、解决问题的能力; 4.提高学生实践论文撰写能力。 二、课程设计的教学过程与考核方法 1.课程设计以小项目的方式开展,以分组(1-2人一组)的形式进行。 2.第一次实验课时,任课教师下达课程设计题目任务,讲解课程设计的题目要求和注意事项,并要求学生根据题目要求进行界面的设计和功能代码编写工作,完成课程设计报告。 3.课程设计实验进行过程中,教师根据实验的进度分模块讲述课程设计题目的设计要点。教师给予技术和方法上的指导,让每个学生自己动手完成项目,并要求学生积极开展逻辑思维,充分发挥自己的创意,设计出优秀的课程设计作品。 4.课程设计结束后,要求学生完成课程设计报告,课程设计报告需包括目录、设计目标、实现思路、实现步骤、总结、参考文献、附录等; 5.最后需上交课程设计报告和设计的软件作品,并进行软件设计作品的演示和答辩。

实验报告

电子科技大学电子工程学院实验报告 实验名称现代电子技术综合实验 姓名: 学号: 评分: 教师签字 电子科技大学教务处制

电子科技大学 实验报告 学生姓名:学号:指导教师:习友宝 实验地点:331 实验时间:(5—8周)周一5,6,7,8节 一、实验室名称:电子技术综合实验室 二、实验项目名称:基于单片机的多任务的控制系统的实现 三、实验学时:16 四、实验目的与任务: 1、熟悉系统设计与实现原理 2、掌握KEIL C51的基本使用方法 3、熟悉SMART SOPC实验箱的应用 4、连接电路,编程调试,实现各部分的功能 5、完成系统软件的编写与调试 五、实验器材 1、PC机一台 2、SMART SOPC实验箱一套 六、实验原理、步骤及内容 (一)试验要求(以课件要求为准) 基本要求: (1)程序运行后,在8位数码管上显示自己的班级学号(后8位),如2902002001,显示为“02002001”。 (2)定义5个按键(key1、key2、key3、key4、key5)作为功能选择键。每次按下key2时,为“秒表计时器”(定时中断实现),显示从“00.00.00.00”开始,即00时00分00秒00(1/100秒,即10ms)。当按下key1时,返回到显示

班级学号;按下其他功能键时,进入其他功能。 (3)按下key3键时,基于TLC549 A/D转换器进行电压测量(输入电压来自电位器,调节范围0~2.49V,单位:V),并将电压值显示在8位数码管的后3位。 (4)在上面(3)要求基础上,调节电位器,若输入电压超过2.00V,则声光报警,即用发光二极管指示灯(如LED1)闪烁(亮0.5s、灭0.5s);蜂鸣器响(用500Hz方波驱动);若输入电压低于2.00V后,则撤销声光报警。 扩展要求: (5)按下key4键,基于LM75A数字温度传感器,完成温度的测量,显示温度值保留到小数点后1位,整数部分最高位为零时不显示出来(高位零消影)。 (6)按下key5键,完成基于直流电机的转速测量。 (7)对电压测量值进行简单的数据处理,如去除尖峰干扰的平均滤波:每12个测量值数据为一组,去掉最大值和最小值后的10个测量值进行算术平均后,作为显示值。 (8)将班级学号、开机时间(时:分:秒)、电压值、温度值、转速等同时在LCD液晶显示屏上进行显示。 (二)实验内容 硬件设计 (原理框图)

SOPC技术课程设计报告书.

赣南师院 物理与电子信息学院SOPC技术课程设计报告书 专业班级:09电信本 学生姓名:胡雯莹 学号:090802054 指导教师:管立新 设计时间:2011.12.30

基于SOPC技术实现数字闹钟 一、课题简介 SOPC技术是美国Altrea公司于2000年最早提出的,并同时推出了相应的开发软件Quartus II。SOPC是基于FPGA解决方案的SOC,与ASIC的SOC解决方案相比,SOPC系统及其开发技术具有更多的特色,构成SOPC的方案有多种途径,我们主要用到的是:基于FPGA嵌入IP硬核的SOPC 系统 1.基于FPGA嵌入IP硬核的SOPC系统 即在FPGA中预先植入嵌入式系统处理器。目前最为常用的嵌入式系统大多采用了含有ARM 的32位知识产权处理器核的器件。尽管由这些器件构成的嵌入式系统有很强的功能,但为了使系统更为灵活完备,功能更为强大,对更多任务的完成具有更好的适应性,通常必须为此处理器配置许多接口器件才能构成一个完整的应用系统。如除配置常规的SRAM、DRAM、Flash外,还必须配置网络通信接口、串行通信接口、USB接口、VGA接口、PS/2接口或其他专用接口等。这样会增加整个系统的体积、功耗,而降低系统的可靠性。但是如果将ARM或其他知识产权核,以硬核方式植入FPGA中,利用FPGA中的可编程逻辑资源和IP软核,直接利用FPGA中的逻辑宏单元来构成该嵌入式系统处理器的接口功能模块,就能很好地解决这些问题。 2.基于FPGA嵌入IP软核的SOPC系统 这种SOPC系统是指在FPGA中植入软核处理器,如:NIOS II核等。用户可以根据设计的要求,利用相应的EDA工具,对NIOS II及其外围设备进行构建,使该嵌入式系统在硬件结构、功能特点、资源占用等方面全面满足用户系统设计的要求。 二、数字闹钟的工作原理及设计过程 1、工作原理

课程设计报告【模板】

模拟电子技术课程设计报告设计题目:直流稳压电源设计 专业电子信息科学与技术 班级电信092 学号 200916022230 学生姓名夏惜 指导教师王瑞 设计时间2010-2011学年上学期 教师评分 2010年月日

昆明理工大学津桥学院模拟电子技术课程设计 目录 1.概述 (2) 1.1直流稳压电源设计目的 (2) 1.2课程设计的组成部分 (2) 2.直流稳压电源设计的内容 (4) 2.1变压电路设计 (4) 2.2整流电路设计 (4) 2.3滤波电路设计 (8) 2.4稳压电路设计 (9) 2.5总电路设计 (10) 3.总结 (12) 3.1所遇到的问题,你是怎样解决这些问题的12 3.3体会收获及建议 (12) 3.4参考资料(书、论文、网络资料) (13) 4.教师评语 (13) 5.成绩 (13)

昆明理工大学津桥学院模拟电子技术课程设计 1.概述 电源是各种电子、电器设备工作的动力,是自动化不可或缺的组成部分,直流稳压电源是应用极为广泛的一种电源。直流稳压电源是常用的电子设备,它能保证在电网电压波动或负载发生变化时,输出稳定的电压。一个低纹波、高精度的稳压源在仪器仪表、工业控制及测量领域中有着重要的实际应用价值。 直流稳压电源通常由变压器、整流电路、滤波电路、稳压控制电路所组成,具有体积小,重量轻,性能稳定可等优点,电压从零起连续可调,可串联或关联使用,直流输出纹波小,稳定度高,稳压稳流自动转换、限流式过短路保护和自动恢复功能,是大专院校、工业企业、科研单位及电子维修人员理想的直流稳压电源。适用于电子仪器设备、电器维修、实验室、电解电镀、测试、测量设备、工厂电器设备配套使用。几乎所有的电子设备都需要有稳压的电压供给,才能使其处于良好的工作状态。家用电器中的电视机、音响、电脑尤其是这样。电网电压时高时低,电子设备本身耗供电造成不稳定因家。解决这个不稳定因素的办法是在电子设备的前端进行稳压。 直流稳压电源广泛应用于国防、科研、大专院校、实验室、工矿企业、电解、电镀、充电设备等的直流供电。 1.1直流稳压电源设计目的 (1)、学习直流稳压电源的设计方法; (2)、研究直流稳压电源的设计方案; (3)、掌握直流稳压电源的稳压系数和内阻测试方法。 1.2课程设计的组成部分 1.2.1 设计原理

指纹实验报告

中央民族大学生命与环境科学学院 遗传学实验报告 人类指纹的采集识别与分析 2014年11月9日 人类指纹的采集识别与分析 前言 遗传学研究中根据遗传性状的表现特征将其分为两类,即数量性状(quantitative character)和质量性状(qualitative character)。质量性状通常差异显著,呈不连续变异, 由主基因决定,杂交子代的表型呈现出一定的比例,可直接采用孟德尔遗传原理进行分析。 数量性状不同于质量性状,数量性状是可以度量的性状,呈连续变异,由多基因决定,各基 因作用微小并且是累加的,呈剂量效应,因此通常要采用统计学方法分析。指纹性状就是属 于数量形状。 1880年henry fauld及william herschel相继提出利用指纹鉴定个人身份的 设想。 galton研究了有血缘关系的人群的指纹证明了指纹花样对人来说是一个稳定的性状。 1924 年挪威女科学家bonnevie提出指嵴数计数法。指纹在胚胎发育第13周开始形成,第 19周完成。因此如有某种遗传或生理因素造成嵴纹发育不良既能在指纹上反映出来。本实 验中,同学采用石墨粉填充沟纹再用透明胶粘手指的方法取自己的指纹,并利用这些指纹进 行指嵴数计数、分析,从而对多基因遗传的特点有了更深刻地认识。 1. 材料和方法&设备和方法 2b铅笔一只;约20cm×10cm的复印纸一张;透明胶带;直尺一把个人电脑及adobe photoshop软件;拍照设备一台。 2. 实验原理 1.人类指纹的形成:指纹是指人手上的条状纹路,它们的形成依赖于胚胎发育时的环境 和遗传因素。指纹属于多基因遗传,在胚胎第12~13周(也有人提出15~16周)即已形成并 保持终生不变。每个人的指纹都是独一无二的,两人之间甚至双胞胎之间,不存在相同的手 指指纹。拥有相同指纹的可能性在10亿分之一以下。因此指纹被称做是无法伪造的身份证。 对一个个体而言,指纹具有唯一性和稳定性。 2.肤(皮纹)与指纹皮纹包括指纹、掌纹和褶纹。指纹为最常用的皮纹。大量研究表明, 某些遗传病,特别是一些染色体病和先天畸形常伴有特殊的皮纹异常。所以皮纹检查可以 作为某些遗传病诊断的辅助指标。 3.指纹分析的常用指标—— a.类型——3类:弓(a) ,箕(l),斗(w) ,6亚类:as ,at ; lu ,lr ; ws,wd ; b.总嵴纹数——trc (tfrc ,指纹总嵴线数 c.atd角 d.指纹强度指数(pattern intensity index, pid )——pid = (2 w +l)/n = (2 w +l) /10 (w 是斗型纹的百分率,l是箕型纹的百分率,n 是常数(10个手指).) 4.类型分类 a.弓形纹:由几条平行的弧形嵴纹组成。纹线由指的一侧延伸到另一侧,中间隆起成弓 形。弓形纹又可分为两种,一种是中间隆起较平缓的弧形弓,另一种是中央隆起很高的帐形 弓。 b.箕形纹:这种纹有两个特征,①有几条嵴纹从手指一侧发出,向指尖方向弯曲,再折 回发出的一侧,形成一种簸箕状的纹线;②有一个由三组纹线形成的三叉点或称三角区 (delta)。根据箕口的开口方向分为尺箕(或正箕,开口朝本手尺骨一侧,即小指方向)和 桡箕(或反箕,开口朝着桡骨一侧,即拇指方向)。 c.斗形纹(又称螺纹或涡形纹):它有 两个特征,①有两个三叉点(如果你在一个指纹上找到三个或三个以上的三叉点,那可能是 杂形纹);②由几条环形线或螺形线的嵴纹绕着中心点形成一个回路,或者有形成回路的趋

技术课程设计基于SOPC技术实现数字闹钟

赣南师院 物理与电子信息学院 SOPC技术课程设计报告书 专业班级:09电信本 学生姓名:胡雯莹 学号:090802054 指导教师:管立新 设计时间:2011.12.30 基于SOPC技术实现数字闹钟 一、课题简介 SOPC技术是美国Altrea公司于2000年最早提出的,并同时推出了相应的开发软件Quartus II。SOPC是基于FPGA解决方案的SOC,与ASIC的SOC解决方案相比,SOPC系统及其开发技术具有更多的特色,构成SOPC的方案有多种途径,我们主要用到的是:基于FPGA嵌入IP硬核的SOPC 系统 1.基于FPGA嵌入IP硬核的SOPC系统 即在FPGA中预先植入嵌入式系统处理器。目前最为常用的嵌入式系统大多采用了含有ARM

的32位知识产权处理器核的器件。尽管由这些器件构成的嵌入式系统有很强的功能,但为了使系统更为灵活完备,功能更为强大,对更多任务的完成具有更好的适应性,通常必须为此处理器配置许多接口器件才能构成一个完整的应用系统。如除配置常规的SRAM、DRAM、Flash外,还必须配置网络通信接口、串行通信接口、USB接口、VGA接口、PS/2接口或其他专用接口等。这样会增加整个系统的体积、功耗,而降低系统的可靠性。但是如果将ARM或其他知识产权核,以硬核方式植入FPGA中,利用FPGA中的可编程逻辑资源和IP软核,直接利用FPGA中的逻辑宏单元来构成该嵌入式系统处理器的接口功能模块,就能很好地解决这些问题。 2.基于FPGA嵌入IP软核的SOPC系统 这种SOPC系统是指在FPGA中植入软核处理器,如:NIOS II核等。用户可以根据设计的要求,利用相应的EDA工具,对NIOS II及其外围设备进行构建,使该嵌入式系统在硬件结构、功能特点、资源占用等方面全面满足用户系统设计的要求。 二、数字闹钟的工作原理及设计过程 1、工作原理 数字闹钟组成结构 数字闹钟一般由振荡器、分频器、计数器、译码器、显示器及部分扩展电路等组成。 1.1 振荡器 振荡器是数字电子钟的核心,其作用是产生一个频率标准,即时间标准信号,然后再由分频器生成秒脉冲,所以,振荡器频率的精度和稳定度就基本决定了数字电子钟的准确度,为产生稳定的时间标准信号,一般采用石英晶体振荡器。从数字电子钟的精度考虑,振荡频率越高记数精度越高。

期末sopc课题设计之 电梯

一.设计目的 本课程的授课对象是电子信息工程专业本科生,是电子类专业的一门重要的实践课程,是理论与实践相结合的重要环节。本课程作为《SOPC技术与应用》的后续实践课程,有助于增强学生对SOPC技术的理解,掌握SOPC设计方法及调试能力,为今后从事现代电路设计与调试工作打下扎实的基础。 二.设计内容 我这次实验设计的题目是:电梯控制器设计 设计一个三层楼自动电梯控制器,电梯内有三个输入按钮响应用户的上下楼层请求,并有七段数码管显示电梯当前所在楼层位置;在每层电梯入口处设有请求按钮开关,指示用户的上或下的请求。由LED灯显示电梯的上下运动情况和关门信息。电梯工作过程共有6种状态:等待、上升、下降、开门、关门和停止状态。一般情况下,电梯工作起始点是第一层,起始状态是等待状态,启动条件是收到上升请求。电梯每上升或下降一层的时间假定为5秒,可通过7段数码管显示电梯的运行时间。 控制电路应能记忆所有楼层请求信号,并按方向优先控制规则依次响应:运行过程中先响应最早的请求,再响应后续的请求。如果无请求则停留当前层。如果有两个同时请求信号,则判断请求信号离当前层的距离,距离近的请求先响应,再响应较远的请求。每个请求信号保留至执行后清除。 输入输出界面: 输入:8个开关SW 输出:2位7段码,4个LEDG灯 8个开关SW从右到左为:SW0~SW7;功能在不同模式下定义不同: SW0:复位电梯工作状态,即楼层设置为1楼,而且状态为等待; SW1:1楼电梯入口处请求“上”的按钮; SW2,SW3:2楼电梯入口处请求“上”和“下”的按钮; SW4:3楼电梯入口处请求“下”的按钮; SW5~SW7:分部是电梯内部的输入按钮“1”,“2”和“3”。 2位7段码分别是HEX0和HEX1。 HEX0:表示当前电梯所在的楼层; HEX1:表示电梯上升的时间(假设电梯上升1层所用的时间为5秒)。

SOPC实验报告

SOPC系统设计技术实验报告 姓名: 学号: 院系:信息科学与工程学院 专业:电子科学与技术 指导老师: 完成日期:2015年04月25日

实验二、NIOSII实现串口收发数据及LCD显示 一、实验目的 (1)进一步熟悉Quartus II、SOPC Builder、NIOS II IDE的操作; (2)掌握SOPC硬件系统及NIOS II软件的开发流程。 二、实验内容 (1)、实验平台:硬件:PC级、SmartSOPC+教学实验开发平台;软件:Quartus II 9.0,SOPC Builder 9.0,NIOS II IDE 9.0。 (2)、实验内容:建立包含SDRAM、JTAG_UART、Timer、LCD的NIOS II处理器系统,通过JTAG_UART从IDE的控制端窗口读取输入值N,计算1至N的累加值,并将计算结果及计算花费时间的显示在LCD中。 三、实验步骤 3.1硬件设计 根据实验内容,可以得出本次实验的硬件结构图如图3.1所示: 图3.1 硬件设计结构图

具体硬件设计步骤如下: 1)、在Quartus II中建立一个工程命名为:smallCore,器件设置为EP3C55F484C8; 2)、以原理图输入方式建立空白顶层模块,并保持; 3)、打开SOPC Builder,命名SOPC系统名称为nios2system,开始建立NIOS II系统。 4)、双击SOPC Builder主界面左侧中的“Nios II Processor”,出现Nios II CPU的配置向导对话框,如图1.4所示,在这里可以有三种Nios II CPU选择,我们选择快速型的Nios II/f,不使用硬件乘法器及除法器。然后单击Next进入下一步配置;Instruction Cache项中选择2 Kbytes,在Data Cache项中选择512 Bytes,单击Next进行下一步配置;在“Advanced Features”和“MMU and MPU Settings”选项卡中选择默认参数,然后单击Next,到了“JTAG Debug Module”选项卡,如图1.6所示。这里是选择JTAG调试接口,选择默认的模式Level 1,然后单击Next,到了“Custom Instruction”选项卡,也选择默认参数,最后单击Finish完成对Nios II CPU的配置。 5)、添加了Nios II CPU内核后,选中Module Name下的cpu_0,单击鼠标右键,在Rename 项中可以重命名cpu_0的名称为cpu,并在“Clock Settings”一栏中将clk_0名称改为clk。 6)、双击在SOPC Builder主界面左侧中的Bridges and Adapters→Memory Mapped→Avalon-MM Clock Crossing Bridge,出现Clock Crossing Bridge的配置向导对话框,在“Slave-to-Master FIFO”中的FIFO depth中选择64。单击“finish”退出配置对话框,并重命名clock_crossing_0的名称为clock_crossing。 7)、双击在SOPC Builder主界面左侧中的Memories and Memory Controllers→SDRAM→DDR SDRAM High Performance Controller,出现DDR SDRAM High Performance Controller的配置向导对话框。修改“General Settings”选项卡的参数配置,参数修改如下:Speed grade:8 PLL reference clock frequency:85 Memory clock frequency:100 Local interface clock frequency:full 修改“Modify Parameters”:DDR SDRAM控制器参数,参数修改如下: Total Memory interface DQ width:16 Memory vendor:other

【学号显示实验报告】 EDA sopc

成绩指导教师日期 张歆奕2013-5-26 五邑大学实验报告 实验课程名称: 电子系统EDA 院系名称:信息学院 专业名称:电子信息工程 实验项目名称:学号显示 班级: AP10352 学号: 报告人:

实验一:学号显示器 一、实验目的 1、练习使用Verilog HDL语言设计实现数字电路。 2、练习利用Verilog HDL语言和状态机设计电路。 3、熟悉EDA开发基本流程。 4、掌握多个数码管动态扫描显示的原理及设计方法。 二、实验原理 用数码管除了可以显示0~9的阿拉伯数字外,还可以显示一些英语字母。数码管由7段显示输出,利用7个位的组合输出,就可以形成部分英语字母和0~9十个数字的显示。共阴数码管0~9和常见字母的7段显示关系如表4-1所示 (共阳数码管对共阴取反)。

三、设计任务 设计任务(一) 1、用Verilog HDL设计单个数码管顺序显示学号(按一次按键,显示下一位学号字符); 2、对设计进行仿真; 3、锁定管脚并下载到开发板进行验证。 设计任务(二) 1、用Verilog HDL设计八个数码管显示学号,并向左或者向右滚屏; 2、对设计进行仿真; 3、锁定管脚并下载到开发板进行验证。 四、设计源程序和说明 设计任务(一)学号显示源程序 module xuehao_display(clk,rst,out); input clk,rst; output reg[6:0] out; reg[3:0] state; parameter s0=4'd0,s1=4'd1,s2=4'd2,s3=4'd3,s4=4'd4,s5=4'd5,s6=4'd6,s7=4'd7,s8=4'd8; always@(posedge clk or negedge rst) begin if(!rst) begin out =7'b1111111;state=s0;end else case(state) s0:begin out=7'b0001000;state<=s1;end//显示A s1:begin out=7'b0011000;state<=s2;end//显示P s2:begin out=7'b1001111;state<=s3;end//显示1 s3:begin out=7'b0000001;state<=s4;end//显示0 s4:begin out=7'b0000110;state<=s5;end//显示3 s5:begin out=7'b0100100;state<=s6;end//显示5 s6:begin out=7'b0010010;state<=s7;end//显示2 s7:begin out=7'b1001111;state<=s8;end//显示1 s8:begin out=7'b0000110;state<=s1;end//显示3 default:state<=0; endcase end endmodule 程序详解:这是一个非常简单的程序,定义8个输出变量,然后给他们赋值,使他们分别为A P 1 0 3 5 2 1 3,然后通过数码管显示出来。 设计任务(二)滚屏显示源程序

sopc课程设计

Sopc技术与应用课程设计交通灯控制系统 专业:电子科学与技术 学号: 姓名: 指导老师:

交通灯课程设计 一、实验目标: 1、掌握开发流程。 2、熟悉Quartus II、 NIOS II软件的使用。 二、实验内容:通过Quartus II 、SOPC Builder和NIOS II实现交通灯控制仿真。东西路线通行25秒,包括黄灯闪烁5秒;南北路线通行15秒,包括黄灯闪烁5秒。 三、实验原理与步骤 通过确立交通等需要的组件来添加组件。12位PIO_LED用来显示四方红黄绿信号,RAM用来存储程序,JTAG_UART用来传输组件和外加硬件之间的信号,PIOC_LOCK为时钟控制信号以实现秒计时,PIO_DATA为送予数码管显示的端口,TIMER为NIOS II中计时组件。通过这些组件与硬件的连接,再加以编程,就能实现交通灯的基本功能。 (1)在quartus ii中建立工程 (2)用sopc builder建立nios系统模块 (3)在quartus ii中的图形编辑界面中进行管脚连接锁定工作(4)编译工程后下载到FPGA (5)在NIOS II IDE中根据硬件建立软件工程 (6)编译后,经过简单设置下载到FPGA中进行调试、验证 第一步:硬件部分设计 1、在自己的文件目录下,建立一个自定义文件夹,这里为学号,注

意不能有空格或中文; 2、打开QUARTUS II,点击FILE菜单下的NEW Project Wizard; 3、然后输入工程存放目录,或点击工程路径右边的按钮设置工程存放目录,在第二栏中输入工程名称,此实验为jiaotongdeng;之后点击Finish,对话框消失,此时已经建立好了jiaotongdeng工程文件; 1.点击New Project Wizard菜单中的Next,选择芯片为cyclone系列的EP1C12Q240C8; 2.确认后点击Quartus II界面第一行工具栏中的SOPC Builder工具; 3.在系统名称填写jiaotong,选择,verilog语言后点击OK,在device family中选择cyclone; 4.添加元件:cpu选择32bit标准型,其他参数默认,改名为cpu(不能出现-); 5.添加JTAG UART Interface,保持默认选项,Finsh,改名jtag_uart; 6.添加内部RAM:选择memory→on-chip memory双击加入,改名RAM; 7.加入pio:选择other→pio设置为output ports noly点击finsh,重新命名,按此方法一次添加三个一位一个十二位pio并分别重命名为:PIO_CLOCK、PIO_LOCK、PIO_DATA、PIO_LED; 8.指定基地址和分配中断号:选择system下拉菜单中auto-assign base address或auto-assign irqs; 9.系统设置:双左击cpu弹出对话框,两个memory选项选择RAM;

课程设计封面及格式要求(1)

辽宁工程技术大学 土木与交通学院 课程设计 课程名称:地下结构设计原理与方法 专业:地下建筑工程 班级:地建07-3 姓名:张顺 学号:0709170329 指导教师:兰常玉 学期:2009-2010 日期:2010/12/31

附件5: 辽宁工程技术大学本科毕业设计(论文)写作规范 第一章总则 一、毕业设计(论文)是人才培养过程中的重要教学环节,实行毕业设计(论文)的规范化管理,是保证毕业设计(论文)质量的前提,也是建立科学、严谨工作作风的重要手段。 二、为了保证本科毕业设计(论文)工作规范、有序进行,根据《论文写作规范国家标准GB7713-87》,特制订本写作规范。 第二章毕业设计(论文)的规范化要求 毕业设计(论文)由开题报告、附本、毕业设计(论文)、图纸等组成。 一、开题报告 开题报告要针对毕业设计(论文)所选题目,根据毕业实习期间收集资料并通过方案设计形成,各教学单位要统一开题报告格式,完成后的开题报告由指导教师审阅并签字。

二、毕业设计(论文)附本(以下简称《附本》) 《附本》中各项内容要由责任人按要求完整并准确地填写。《附本》包括毕业设计(论文)任务书、过程检查表、中期考核记录、指导教师评语、评阅教师评语、毕业设计(论文)答辩记录及答辩委员会意见。 1.毕业设计(论文)任务书 任务书由毕业设计(论文)题目、主要内容和工作阶段安排组成,由指导教师根据情况认真填写。 2.毕业设计(论文)过程检查表 检查表由指导教师按照每个时间段应完成的阶段性工作重点,根据检查学生毕业设计(论文)的质量和进度填写。 3.毕业设计(论文)答辩记录 答辩记录由答辩小组秘书根据学生答辩时答辩小组成员提出的问题及回答情况,即时以“问”和“答”的形式认真填写,不得做总结性记录。 4.毕业设计(论文)中期考核、评语及答辩委员会意见 毕业设计(论文)中期考核、评语(包括指导教师评语、评阅教师评语)及答辩委员会意见,按栏目要求分别由专业建设负责人、指导教师、评阅教师填写并签字,答辩委员会意见由答辩委员会主席(或秘书)填写,由答辩委员会主席签字(或加盖蓝色印章)。“考核”、“评语”及“意见”要根据毕业设计(论文)的实际水平和特点,实事求是地给出,内容要有针对性,切忌千篇一律。中期考核、评阅教师及答辩小组评定成绩填写分值,答辩委员会综合三项成绩按优秀、良好、中等、及格、不及格五个级别填写总成绩。 对于参加第二次答辩的学生,相关教师要认真填写《二次答辩修改说明》。《二次答辩修改说明》要重点填写第一次答辩存在的问题、针对存在问题提出的修改意见及毕业设计(论文)的改进情况。 三、毕业设计(论文) 毕业设计(论文)包括封面、“本科毕业设计(论文)诚信承诺保证书”、中文摘要、外文摘要、目录、正文、致谢、参考文献、附录等。 1.封面 封面填写要做到清晰完整,题目等项内容要与开题报告、毕业设计(论文)正文、档案袋等处保持一致。打印封面要与学校印制的《毕业设计(论文)》封

流水灯实验报告

实验二流水灯 实验时间:2011-11-20 实验地点:物理楼406 一、实验目的 通过此实验进一步了解,熟悉FPGA开发软件的使用方法及Verilog.HDL,的编程方法;学习简单时序电路的设计。 二、实验原理和内容 实验内容:在实验板上实现LED1~LED8发光二极管流水灯显示 实验原理:在LED1~LED8引脚上周期性地输出流水数据,如原来输出的数据是11111100则表示点亮LED1,LED2,流水一次后,输出数据应该为11111000,而此时则应点亮LED1~LED3三个LED发光二极管,这样就可以实现LED流水灯,为了观察方便,流水速率最好在2Hz左右。在QuickSOPC核心板上有-48MHz的标准时钟源,该时钟脉冲CLOCK 与芯片的28脚相连,为了产生2Hz的时钟脉冲,在此调用了一个分频模块int_div模块,通过修改分频系数来改变输出频率,int-div模块是一个占空比为50%的任意整数分频器。 三、实验步骤 1,启动QuartusⅡ建立一个空白工程,然后命名为led-water.qpf。 2,新建Verilog HDL源程序文件ledwater.v,输入程序代码并保存,然后进行综合编译。若在编译过程中发现错误,则找出并更正错误,直至编译成功为止。 3,从设计文件创建模块,由ledwater.v生成名为ledwater.bsf的模块符号文件。 4,将光盘中EDA-Component目录下的int-div.bsf和int-div.v拷贝到工程目录。 5,新建图形设计文件命名为led-water.bdf并保存。在空白处双击鼠标左键,在Symbol对话框左上角的libraries.v中,分别将project下的ledwater和int-div模块放在图形文件led-water.bdf中,加入输入,输出引脚,双击各引脚符号进行引脚命名。将与ledwater模块led[7..0]连接的引脚命名为led[7..0],与int-div模块clock连接的引脚命名为clock,int-div模块的clk-out与ledwater模块的clk相连接。 6,选择目标器件并对相应的引脚进行锁定,在这里所选择的器件为Altera公司Cyclone系列的EPIC12Q240C8芯片,引脚锁定方法见下表,将未使用的引脚设置为三态输入。

PWM_SoPC设计报告

目录 一、课程设计要求 1.所选题目 2.基本要求 3.实验所用平台 4.总体方案流程 二、总体设计方案 1.顶层硬件电路图 2.总体方案 三、硬件电路部分 1.模块划分 2.IP核设计 四、FPGA下载代码和引脚分布 1.FPGA引脚分布 2.代码下载 五、软件部分 1.软件总体设计思路 2.软件流程图 六、程序代码 七、程序编译下载于仿真调试 八、课程设计心得总结

一、课程设计要求 1.所选题目 设计PWM控制器,要求输出脉冲频率可编程(100Hz-10Khz),占空比可编程(0-31)分辨率为1/32;用PWM输出分别控制DE2板上LED亮度,至少2路;PWM频率和占空比用NIOSII控制(用开关或键盘或UART)。 2.基本要求 课程设计要求所有题目采用Quartus II 工具提供的图形输入或者VerilogHDL语言输入方式作为电路设计工具,在NiosII上采用C 语言实现编程,自定向下正向设计方法,先设计硬件系统,再进行软件编程,能够生成正确的FPGA下载代码和NiosII执行的软件代码。硬件功能仿真和时序仿真采用第三方工具(建议为:modelsim ),综合与布局布线工具为:Quartus II,SOPC Builder建立软件运行环境。 3.实验所用平台 硬件平台: ●Xilinx Spartan-3E开发板 软件开发工具: ●ISE Design Tool(64-bit Project Navigator) ●Xilinx Platform Studio ●Xilinx Software Development Kit ●Xilinx EDK PlanAhead 4.总体方案流程

课程设计报告封面及格式

(此文档为word格式,下载后您可任意编辑修改!) 重庆科技学院 《面向对象程序设计》课程设计报告 学院:_电气与信息工程学院_ 专业班级:计科1103 学生姓名:兰倩学号: 设计地点(单位)________计算机自主学习中心 ________ __ 设计题目:___公司员工信息管理系统设计___________________ 完成日期: 2013 年1月18日 指导教师评语: ______________________ _________________ __________________________________________________________________________________ __________________________________________________________________________________ _____________________________________ __________ _ 成绩(五级记分制):______ __________

指导教师(签字):________ ________ 重庆科技学院 课程设计任务书 设计题目:公司员工信息管理系统设计

2013年1月1日

摘要 本程序是能够对公司人员进行管理,而公司需要存储的是雇员的编号、姓名、性别、所在部门、级别,并进行对工资的计算。其中,雇员分为经理、技术人员、销售人员和销售经理。而日常生活中的人员管理有添加、查询、显示、编辑、删除和统计等基本功能。该程序也同样拥有这些功能。在程序运行开始,系统将首先进行的是从文件中读取数据,而只要管理人员正确的结束程序时,系统将自动保存所修改的数据。在系统运行中,必须按照提示进行操作,否则系统报错,而往往操作人员只有6次机会,若连续6次操作失败,该界面将自动退出,若该页面为主页面,则退出该系统。 关键字:公司管理雇员数据

实验七:SOPC的设计实验

本科学生综合性实验报告 学号114090523 姓名罗朝斌 学院物电学院专业、班级11光电子 实验课程名称电子设计自动化(EDA实验) 教师及职称罗永道副教授 开课学期2013 至2014 学年下学期填报时间2014 年 6 月9 日 云南师范大学教务处编印

实验序号七实验名称SOPC的设计 实验时间2014年6月9日实验室同析楼113 一.实验预习 1.实验目的: 1、学习字符型液晶显示器的控制原理 2、学习ram的使用 3、设计字符型液晶显示控制器 4、理解nios 处理器中LCD IP 核的工作原理,软硬件驱动方式,以及从flash 启动LCD 软件代码的详细操作过程,并能够灵活的运用到自己的实际开发中。 5、掌握SOPC的设计方法,熟悉和练习QuartusⅡ的应用。 2.实验原理、实验流程或装置示意图: 液晶屏幕分为点阵型和液晶型两种,本次实验用到的是字符型可以显示2行16个字。液晶模块采用14 针标准接口第1 脚:VSS为地电源第2 脚:VDD接5V 正电源第3 脚:V0 为液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高,对比度过高时会产生“鬼影”,使用时可以通过一个10K 的电位器调整对比度第4 脚:RS为寄存器选择,高电平时选择数据寄存器、低电平时选择指令寄存器。第5 脚:RW为读写信号线,高电平时进行读操作,低电平时进行写操作。当RS 和RW 共同为低电平时可以写入指令或者显示地址,当 RS 为低电平RW 为高电平时可以读忙信号,当RS 为高电平RW 为低电平时可以写入数据。第 6 脚:E端为使能端,当E 端由高电平跳变成低电平时,液晶模块执行命令。第7~14 脚: D0~D7 为8 位双向数据线。 1602正面图 1602背面图

SOPC课程设计——模拟十字路口交通灯

SOPC设计与应用实验 课程设计报告 学院:机械与电子工程学院 专业:电子科学与技术 班级:10206301 学号:1020630126 姓名:陈英文 指导老师:邓文娟 2013年6月22日

一、实验简介 实验其他成员:华浩荣、蒋顺风、周利强、张瑜 组长:华浩荣 我做的模块是12个交通灯的循环点亮,红灯、绿灯亮10秒,黄灯亮5秒。实验报告重点介绍这部分内容,其它的简写。 本实验是基于ZY11203G EDA/SOPC创新实验开发系统做出来的。 1.实验平台介绍 电源模块 ? 下载接口模块 ? 数码显示模块 ? LED 显示模块 ? 液晶显示模块 ? 拨码开关模块 ? 按键模块 ? 扬声器模块

? 配置芯片 ? VGA、PS2接口模块 ? 核心功能模块 ? 串口模块 2.主要用到的模块介绍 2.1 下载接口模块 该模块为Altera 的CPLD/FPGA 器件的下载模块,该下载电路为JTAG 形式,因此还能对AS方式的配置芯片EPCSx 进行下载。 2.2 数码显示模块 数码显示模块由八个七段数码管和八个74HC595D 芯片,构成串并转换显示结构,以实现串行数据到并行数据的转换,并将该并行数据送入相应的数码管段。 八个数码管为共阴数码管(段码为高电平时,点亮数码管),数码管结构如下图所示:

2.3 LED段显示模块 12位发光二极管用于输出显示,输出高电平时发光二极管点亮。 2.4、核心功能模块介绍 主板模块的核心芯片采用Altera 公司cyclone 系列的EP1C12Q240C8;flash 存储器采用AM29LV065DU(8M×8bit);SRAM 存储器采用CY7C1041CV33(256K×16bit);AS 配置芯片为EPCS4(4M);同时给出两个钟振作为时钟源;数据地址总线采用高精度连接器与外部连接,以保证用户对Nios 二次开发的需要。实验平台右侧的双排直插针用于连接开发板,用户也可自行设计开发扩展模块。 二、实验要求及原理 1.实验要求 设计并制作一个交通灯控制电路,要求如下: 1、东西南北方向分别设置红黄绿3个指示灯,东西方向和南北方向用1个数码管显示通行时间;

课程设计封面格式

附件1:课程设计封面格式 浙江工业大学建筑工程学院课程设计指导书 课程名称:____________ 题目:____________ ____________ 学生姓名:____________ 学号:____________ 班级:____________ 指导教师:____________ 年月

附件2:课程设计指导书内容及格式 课程设计指导书统一格式 一、纸张一律使用A4纸,页边距为上下各2.54厘米,左右各3.17厘米,正文 统一为1.5倍行距 二、大标题(课程名)一律用三号黑体字,不需要书名号,小标题一律用小四 号黑体字,各章的标题一律用5号黑体字,正文一律用5号宋体字。 混凝土结构课程设计指导书(3号黑体) 课程名称:(5号宋体) 适用班级:开课学期: 学时数:上机时数:学分数: 指导教师: 一、设计目的和任务(小4号黑体) 正文用5号宋体 二、基本内容与要求 三、设计资料及有关规定 四、课程设计的步骤和方法 五、上机时数的安排 六、设计成果要求(按各专业评估资料收集要求填写) 七、时间安排 八、考核方法 九、参考资料

浙江工业大学建筑工程学院生产实习指导书 实习地点:____________ 学生姓名:____________ 学号:____________ 班级:____________ 指导教师:____________ 年月

浙江工业大学建筑工程学院认识实习指导书 课程名称:____________ 学生姓名:____________ 学号:____________ 班级:____________ 指导教师:____________ 年月

相关主题
文本预览
相关文档 最新文档