当前位置:文档之家› SOPC实验报告

SOPC实验报告

SOPC实验报告
SOPC实验报告

SOPC系统设计技术实验报告

姓名:

学号:

院系: 信息科学与工程学院

专业:电子科学与技术

指导老师:

完成日期: 2015年04月25日

实验二、NIOSII实现串口收发数据及LCD显示

一、实验目的

(1)进一步熟悉Quartus II、SOPC Builder、NIOS II IDE的操作;

(2)掌握SOPC硬件系统及NIOS II软件的开发流程。

二、实验内容

(1)、实验平台:硬件:PC级、SmartSOPC+教学实验开发平台;软件:Quartus II ,SOPC Builder ,NIOS II IDE 。

(2)、实验内容:建立包含SDRAM、JTAG_UART、Timer、LCD的NIOS II处理器系统,通过JTAG_UART从IDE的控制端窗口读取输入值N,计算1至N的累加值,并将计算结果及计算花费时间的显示在LCD中。

三、实验步骤

硬件设计

根据实验内容,可以得出本次实验的硬件结构图如图所示:

图硬件设计结构图

具体硬件设计步骤如下:

1)、在Quartus II中建立一个工程命名为:smallCore,器件设置为EP3C55F484C8;

2)、以原理图输入方式建立空白顶层模块,并保持;

3)、打开SOPC Builder,命名SOPC系统名称为nios2system,开始建立NIOS II系统。

4)、双击SOPC Builder主界面左侧中的“Nios II Processor”,出现Nios II CPU的配置向导对话框,如图所示,在这里可以有三种Nios II CPU选择,我们选择快速型的Nios II/f,不使用硬件乘法器及除法器。然后单击Next进入下一步配置;Instruction Cache项中选择2 Kbytes,在Data Cache项中选择512 Bytes,单击Next进行下一步配置;在“Advanced Features”和“MMU and MPU Settings”选项卡中选择默认参数,然后单击Next,到了“JTAG Debug Module”选项卡,如图所示。这里是选择JTAG调试接口,选择默认的模式Level 1,然后单击Next,到了“Custom Instruction”选项卡,也选择默认参数,最后单击Finish完成对Nios II CPU的配置。

5)、添加了Nios II CPU内核后,选中Module Name下的cpu_0,单击鼠标右键,在Rename 项中可以重命名cpu_0的名称为cpu,并在“Clock Settings”一栏中将clk_0名称改为clk。

6)、双击在SOPC Builder主界面左侧中的Bridges and Adapters→Memory Mapped→Avalon-MM Clock Crossing Bridge,出现Clock Crossing Bridge的配置向导对话框,在“Slave-to-Master FIFO”中的FIFO depth中选择64。单击“finish”退出配置对话框,并重命名clock_crossing_0的名称为clock_crossing。

7)、双击在SOPC Builder主界面左侧中的Memories and Memory Controllers→SDRAM→DDR SDRAM High Performance Controller,出现DDR SDRAM High Performance Controller的配置向导对话框。修改“General Settings”选项卡的参数配置,参数修改如下:Speed grade:8

PLL reference clock frequency:85

Memory clock frequency:100

Local interface clock frequency:full

修改“Modify Parameters”:DDR SDRAM控制器参数,参数修改如下:

Total Memory interface DQ width:16

Memory vendor:other

Column address width:9

8)、修改DDR SDRAM的控制器ddr_sdram_0的名称为ddr_sdram,并在ddr_sdram左侧中取消和中的实点,选择的实点,将ddr_sdram控制器连接到Clock Crossing Bridge的m1中。

9)、双击在SOPC Builder主界面左侧中的Bridges and Adapters→Memory Mapped→Avalon-MM Pipeline Bridge,出现Pipeline Bridge的配置向导对话框,选择默认参数,单击“finish”添加到SOPC Builder中,并重命名pipeline_bridge_0为pipeline_bridge。

10)、双击在SOPC Builder主界面左侧中的Peripherals→Microcontroller Peripherals→PIO(Parallel I/O),出现PIO外设配置的对话框,在Wide一栏中选择8bit,在Direction一栏中选择Output ports only,如图所示,最后单击Finish完成对PIO的设置,此时在SOPC Builder 中出现pio_0的外设,修改该名称为LED_PIO,并把LED_PIO外设连接到上。

11)、双击在SOPC Builder主界面左侧中的Peripherals→Debug and Performance→System ID Peripheral,直接在SOPC Builder中添加sysid的外设,修改sysid_0名称为sysid,并把sysid 外设连接到上。

12)、双击在SOPC Builder主界面左侧中的PLL→PLL,单击“Launch Altera’s ALTPLL MegaWizard”,出现PLL配置对话框1。在“what is the frequency of the inclock0 input”一栏中设置输入的频率为50MHz,单击Next,进行下一步的设置。在PLL配置对话框2中的“Lock output”中选择“Create ‘locked’output”,PLL配置对话框的page3、4、5都选择默认参数,在page6中Clock Tap Settings一栏中选择“Enter output clock frequency”,将c0时钟输出为85MHz,单击Next,进行下一步的设置。PLL配置对话框的page7-11都选择默认参数,在page12

中单击“finish”退出PLL配置对话框,最后再单击“finish”退出。在SOPC Builder中重命名pll_0为sys_pll,并把sys_pll外设连接到上。

13)、在“Clock Settings”一栏中将sys_pll_c0名称改为system_clk,并在SOPC Builder中的Clock一栏中为每一个外设选择合适的clock信号。需要特别注意的是sys_pll中的s1时钟需要选择clk,clock_crossing中的s1需要选择system_clk,m1需要选择ddr_sdram_sysclk,ddr_sdram对应选择system_clk,ddr_sdram中的s1需要选择ddr_sdram_sysclk,其它的外设选择system_clk。

14)、添加JTAG_UART:使用缺省设置,命名为jtag_uart,对应system_clk,连接至pipeline_bridge;

15)、添加Timer: Initial Period改为20ms,命名为sys_clock_timer, 对应system_clk,连接至pipeline_bridge;

16)、添加Avalon-MM Tristate bridge: 使用缺省设置,命名为ext_bus,对应system_clk,连接至cpu;

17)、添加zlg_avalon_lcd240_128: 命名为lcd240_128,对应system_clk,连接至ext_bus;

18)、添加pio: 1位输出,output only, 命名为lcd_light,对应system_clk,连接至pipeline_bridge;

19)、单击SOPC Builder菜单的system→Auto-Assign Base Address,进行自动分配地址,单击SOPC Builder菜单的system→Auto-Assign IRQs,进行自动分配中断号。

20)、双击SOPC Builder中的cpu,确认在Reset Address一栏中选择ddr_sdram,在Exception Address一栏中选择ddr_sdram。

完整的nios2系统如图所示:

图 nios2系统构造图

时钟设制如图所示:

图系统时钟设置图

21)、生成系统。在之前建立好的原理图文件中添加NIOS II 系统至顶层模块,保存原理图文件。

22)、定制delay_reset_block模块:原理图如图所示:

图 delay_reset_block模块原理图

23)、在smallCore原理图中添加delay_reset_block模块原理图,并且添加输入输出

端口,得到系统原理图,如图所示:

图系统原理图

24)、在Quartus II主界面中选择Assignments→Device。在“Available devices”一栏中选择“EP3C55F484C8”器件。对器件和引脚进行配置。配置项有:Unused Pins设置为:As input tri-stated;configuration device设置为:EPCS16;Default I/O standard配置为:LVTTL电平;Dual-Purpose Pins中的所有引脚设置为“Use as regular I/O”。

25)、将DDR SDRM引脚约束文件中的“YES”改为“NO”,运行DDR SDRM引脚约束文件。

26)、对系统进行引脚分配:新建引脚约束文件:””。文件见附录。运行引脚约束文件。

27)、编译整个工程,编译成功之后将系统下载到FPGA 中去。硬件设计完成。

软件设计

本系统的软件设计流程图如图所示:

图软件设计流程图

具体步骤如下:

1)、在Nios II IDE的工程中选择File→New→Nios II C/C++ Application,新建一个C/C++工程文件,在“Select Project Template”一项中选择空白文件。在Name一项中填入工程名,在这里我们命名为num,在SOPC Builder System一项里,我们选择QuartusII工程目录下的文件。

2)、选中Nios II IDE工程右侧的num工程,单击鼠标的右键,选择“System Library Properties”一项,配置系统的参数,在这里,我们选择默认参数。

3)、添加主程序文件文件(见附录),文件,文件。

4)、选中Nios II IDE工程右侧的led_flow工程,单击鼠标的右键,选择“Build Project”一项,开始编译工程,在工程下面的Console选项卡中查看编译信息。

5)、选中Nios II IDE工程右侧的num工程,单击鼠标的右键,选择Run As→Nios II Hardware,开始下载程序到FPGA,等待下载完毕后,在实验箱上可以看到LCD屏幕显示的变化。

四、结论

结果展示

运行后的结果如图所示:

图实验结果图

当输入N为100时的计算结果为5050 ,耗时为2039,这里的2039是执行指令的时间,不是秒。

实验总结

1、试验中遇到的问题:

1)、在使用SOPC builder设计nios2系统时,每个IP核对应的时钟很重要,要细心选择,经常选错;

2)、添加完所有IP核后,忘记对cpu的复位地址和异常处理地址进行设置,导致系统生成不成功:

3)、引脚分配不成功,导致编译通不过;

4)、软件程序下载不到DDR SDRM中,(可能原因:没有对DDR SDRM的时钟设置-75度的相位偏移;)(尚未解决)

2、总结:

在本实验中,我们学习了SOPC系统的设计流程,从硬件设计到软件设计,深刻体会到了SOPC设计在系统设计中的优势

1)、设计全程,包括电路系统描述、硬件设计、仿真测试、综合、调试、系统,直至整个系统完成,都由计算机进行。

2)、设计技术直接面向用户,的被动使用者也可能成为的主动设计者。

3)、系统级专用的实现除了传统的ASIC器件外,还能通过大规模FPGA等可件来实现。

在软件设计方面,NIOS II实际和一般的CPU设计方法是一样的,这样对于有一定单片机编程的设计人员来说具有极大优势。并且开发环境也是功能齐全,界面环境友好。具有开发简单,易于操作。

五、附录

文件

set_global_assignment -name FAMILY "Cyclone III"

set_global_assignment -name DEVICE EP3C55F484C8

set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"

set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"

set_global_assignment -name ENABLE_CLOCK_LATENCY ON

set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE EPCS16

# system clock and reset----------------------------------------------------------------

set_location_assignment PIN_T2 -to sys_clk

set_location_assignment PIN_B11 -to reset_n

# ddr sdram-----------------------------------------------------------------------------

set_location_assignment PIN_AA3 -to mem_clk

set_location_assignment PIN_AB3 -to mem_clk_n

set_location_assignment PIN_AB18 -to mem_addr[0]

set_location_assignment PIN_AB19 -to mem_addr[1]

set_location_assignment PIN_AB20 -to mem_addr[2]

set_location_assignment PIN_AA20 -to mem_addr[3]

set_location_assignment PIN_Y17 -to mem_addr[4]

set_location_assignment PIN_Y15 -to mem_addr[6] set_location_assignment PIN_Y14 -to mem_addr[7] set_location_assignment PIN_AA15 -to mem_addr[8] set_location_assignment PIN_AB15 -to mem_addr[9] set_location_assignment PIN_AA17 -to mem_addr[10] set_location_assignment PIN_AA14 -to mem_addr[11] set_location_assignment PIN_AB14 -to mem_addr[12] set_location_assignment PIN_Y3 -to mem_dq[0] set_location_assignment PIN_W6 -to mem_dq[1] set_location_assignment PIN_AA4 -to mem_dq[2] set_location_assignment PIN_AA5 -to mem_dq[3] set_location_assignment PIN_W7 -to mem_dq[4] set_location_assignment PIN_Y7 -to mem_dq[5] set_location_assignment PIN_U9 -to mem_dq[6] set_location_assignment PIN_V8 -to mem_dq[7] set_location_assignment PIN_AB7 -to mem_dq[8] set_location_assignment PIN_Y8 -to mem_dq[9] set_location_assignment PIN_U10 -to mem_dq[10] set_location_assignment PIN_AA8 -to mem_dq[11]

set_location_assignment PIN_AA9 -to mem_dq[13]

set_location_assignment PIN_V11 -to mem_dq[14]

set_location_assignment PIN_W10 -to mem_dq[15]

set_location_assignment PIN_V10 -to mem_dqs[0]

set_location_assignment PIN_AB9 -to mem_dqs[1]

set_location_assignment PIN_V5 -to mem_dm[0]

set_location_assignment PIN_AA7 -to mem_dm[1]

set_location_assignment PIN_AA16 -to mem_ba[0]

set_location_assignment PIN_AB17 -to mem_ba[1]

set_location_assignment PIN_AB13 -to mem_cas_n

set_location_assignment PIN_AA13 -to mem_ras_n

set_location_assignment PIN_AB16 -to mem_cs_n

set_location_assignment PIN_AA19 -to mem_cke

set_location_assignment PIN_Y13 -to mem_we_n

# ext bus and lcd240x------------------------------------------------------set_location_assignment PIN_G8 -to data[0]

set_location_assignment PIN_H3 -to data[1]

set_location_assignment PIN_H7 -to data[2]

set_location_assignment PIN_J7 -to data[3]

set_location_assignment PIN_K7 -to data[4]

set_location_assignment PIN_N7 -to data[5]

set_location_assignment PIN_M6 -to data[6]

set_location_assignment PIN_M5 -to data[7]

set_location_assignment PIN_C10 -to address[0]

set_location_assignment PIN_E15 -to address[1]

set_location_assignment PIN_G14 -to address[2]

set_location_assignment PIN_C8 -to write_n

set_location_assignment PIN_F15 -to read_n

set_location_assignment PIN_C18 -to out_port

set_location_assignment PIN_D17 -to chipselect_n

# peripheral-----------------------------------------------------------------------------set_location_assignment PIN_F13 -to ledout[0]

set_location_assignment PIN_D10 -to ledout[1]

set_location_assignment PIN_E10 -to ledout[2]

set_location_assignment PIN_D8 -to ledout[3]

set_location_assignment PIN_C17 -to ledout[4]

set_location_assignment PIN_E12 -to ledout[5]

set_location_assignment PIN_E13 -to ledout[6]

set_location_assignment PIN_E11 -to ledout[7]

文件

#include<>

#include<>

#include<>

#include ""

#include ""

#include ""

#include "sys/" .\n");

}

n", n_string);

N = atoi(n_string);

sum = 0;

time1 = alt_timestamp(); .\n"); }

fclose (fp);

return 0;

}

材料_基于FPGA的SOPC流水灯演示实验

基于FPGA的SOPC演示实验 秦菁2012-07-10演示实验:流水灯 实验内容:将8位LED灯点亮,进行流水灯控制 实验步骤: (1)在Quartus II中建立工程 (2)用SOPC builder建立Nios系统模块 (3)在Quartus II中的图形编辑界面进行引脚连接工作等 (4)编译工程后下载到FPGA中 (5)在Nios II IDE中根据硬件建立软件工程 (6)编译后,经过简单设置下载到FPGA中进行调试、实验 随着嵌入式处理器、专用数字器件和DSP算法以IP核的形式嵌入FPGA中,以单片FPGA完成整个嵌入式系统数字部分的设计已经成为现实。此实验主要通过运用Altera公司提供的Nios II软核处理器,了解SOPC的基本概念和基于FPGA的嵌入式系统的开发方法,掌握SOPC硬件开发工具,软件调试工具的使用。下面主要从硬件和软件的部分进行介绍。 一、硬件部分设计 (1)运行Quartus II软件,选择File/New Project Wizard菜单,选择工程目录(自定义)、工程名以及顶层文件名为led_test,在选择器件设置对话框中选择目标器件为Cyclone系列的EP1C6Q240C8N,建立新工程。 (2)双击左侧Entitiy框中的器件名,弹出如下对话框,点击Device and pin options/Unused pins,在Reserved all unused pins中选择As input tri-stated。

(3)选择Tools/SOPC Builder菜单项,或者点击Quartus II软件右上方工具栏的,打开SOPC Builder程序。弹出Create New System对话框。在System Name 文本框中键入nios32(自拟,但与工程名不同),选择语言为VHDL,单击确定 (4)确认Device Family中我们选择的是Cyclone,系统频率为50MHz。如图: (5)下面开始添加系统需要的元件:Nios II32位CPU、JTAG UART Interface、led_pio、RAM。 (5.1)首先添加Nios II32位CPU:双击Nios II Processor,或单击选中后点击 Add按钮,然后在弹出的Nios II Processor设置对话框中设置添加CPU的参数,分别在Core Nios II和JTAG Debug Module选项中选择Nios II/e和level1,其他选项保持默认。Nios II有三种标准:经济型(Nios II/e)、标准型(Nios II/s)、 全功能型(Nios II/f)。本实验中选择经济型。

中南大学通信原理实验报告(截图完整)

中南大学 《通信原理》实验报告 学生姓名 指导教师 学院 专业班级 完成时间

数字基带信号 1、实验名称 数字基带信号 2、实验目的 (1)了解单极性码、双极性码、归零码、不归零码等基带信号波形特点。 (2)掌握AMI、HDB 3 码的编码规则。 (3)掌握从HDB 3 码信号中提取位同步信号的方法。 (4)掌握集中插入帧同步码时分复用信号的帧结构特点。 (5)了解HDB 3 (AMI)编译码集成电路CD22103。 3、实验内容 (1)用示波器观察单极性非归零码(NRZ)、传号交替反转码(AMI)、三阶高密度双极性码 (HDB 3)、整流后的AMI码及整流后的HDB 3 码。 (2)用示波器观察从HDB 3 码中和从AMI码中提取位同步信号的电路中有关波形。 (3)用示波器观察HDB 3 、AMI译码输出波形。 4、基本原理(简写) 本实验使用数字信源模块和HDB 3 编译码模块。 1、数字信源 本模块是整个实验系统的发终端,模块内部只使用+5V电压,其原理方框图如图1-1所示,电原理图如图1-3所示(见附录)。本单元产生NRZ信号,信号码速率约为170.5KB,帧结构如图1-2所示。帧长为24位,其中首位无定义,第2位到第8位是帧同步码(7位巴克码1110010),另外16位为2路数据信号,每路8位。此NRZ信号为集中插入帧同步码时分复用信号,实验电路中数据码用红色发光二极管指示,帧同步码及无定义位用绿色发光二极管指示。发光二极管亮状态表示1码,熄状态表示0码。 本模块有以下测试点及输入输出点: ? CLK 晶振信号测试点 ? BS-OUT 信源位同步信号输出点/测试点(2个) ? FS 信源帧同步信号输出点/测试点 ? NRZ-OUT(AK) NRZ信号(绝对码)输出点/测试点(4个) 图1-1中各单元与电路板上元器件对应关系如下: ?晶振CRY:晶体;U1:反相器7404 ?分频器U2:计数器74161;U3:计数器74193;U4:计数器40160 ?并行码产生器K1、K2、K3:8位手动开关,从左到右依次与帧同步码、数

SOPC课程设计实验报告--基于 NIOS 的 μCOS-II 实验

FPGA-CPLD原理及应用课程设计报告题目:基于NIOS的μC/OS-II实验 学院:信息与电子工程学院 专业:电子科学与技术 学号: 姓名: 指导老师: 时间:2013-7-15~2013-7-20

一、摘要 本实验项目使用Quartus II、SOPC Builder和Nios II EDS从零开始构建一个能够在DE2-115实验平台上运行的μC/OS-II操作系统的Nios II系统。初学者可以借此范例熟悉Quartus II、SOPC Builder、Nios II EDS的使用,并且了解基于FPGA的嵌入式系统开发流程。 关键词:SOPC Builder Nios II DE2Nios II EDS 二、设计要求 从零开始建立一个基于Nios II的μC/OS-II应用实验系统(也可以认为是一个Nios II+μC/OS-II的应用框架)具有以下一些作用。 (1)读者可以借助SOPC Builder工具自行对Nios II软核处理器进行配置。 (2)很多范例都是纯硬件的VHDL代码,需要自行从零开始建立Nios II 系统,不能够直接使用Altera公司已经建立好的Nios II系统。 (3)DE2-115并非Altera公司原创的开发板,而是友晶科技ODM的电路板,很多外围设备都与Altera提供的电路板不一样,所以很多Altera手册中范例都无法执行,必须要有自己从硬件到软件建立系统的能力,将来才有办法将Altera 提供的范例移植到DE2-115上执行并做到最佳化。 三、设计内容” 1、SOPC Builder硬件建立 SOPC Builder是在Quartus II里的SOPC Builder进行的,先建立工程在SOPC Builder里添加硬件,包括CPU,PLL,onchip_memory,SSRAM,SDRAM Tristate Bridge,Flash,JTAG UART,UART,Timer System ID 2、Quartus II硬件处理 硬件会自动建立一个顶层模块,通过建一个原理图来对对应的硬件进行输入输出的添加,再锁定引脚,编译工程,硬件下载。 3、Nios II DE2嵌入软件编写 在Nios II里建立工程,选择相应的模块,编写需要嵌入的软件,添加缺少的头文件对应的宏定义,编译工程,进行软件下载,在观察结果。 四、设计步骤 1、打开Quartus II新建工程

实验报告

电子科技大学电子工程学院实验报告 实验名称现代电子技术综合实验 姓名: 学号: 评分: 教师签字 电子科技大学教务处制

电子科技大学 实验报告 学生姓名:学号:指导教师:习友宝 实验地点:331 实验时间:(5—8周)周一5,6,7,8节 一、实验室名称:电子技术综合实验室 二、实验项目名称:基于单片机的多任务的控制系统的实现 三、实验学时:16 四、实验目的与任务: 1、熟悉系统设计与实现原理 2、掌握KEIL C51的基本使用方法 3、熟悉SMART SOPC实验箱的应用 4、连接电路,编程调试,实现各部分的功能 5、完成系统软件的编写与调试 五、实验器材 1、PC机一台 2、SMART SOPC实验箱一套 六、实验原理、步骤及内容 (一)试验要求(以课件要求为准) 基本要求: (1)程序运行后,在8位数码管上显示自己的班级学号(后8位),如2902002001,显示为“02002001”。 (2)定义5个按键(key1、key2、key3、key4、key5)作为功能选择键。每次按下key2时,为“秒表计时器”(定时中断实现),显示从“00.00.00.00”开始,即00时00分00秒00(1/100秒,即10ms)。当按下key1时,返回到显示

班级学号;按下其他功能键时,进入其他功能。 (3)按下key3键时,基于TLC549 A/D转换器进行电压测量(输入电压来自电位器,调节范围0~2.49V,单位:V),并将电压值显示在8位数码管的后3位。 (4)在上面(3)要求基础上,调节电位器,若输入电压超过2.00V,则声光报警,即用发光二极管指示灯(如LED1)闪烁(亮0.5s、灭0.5s);蜂鸣器响(用500Hz方波驱动);若输入电压低于2.00V后,则撤销声光报警。 扩展要求: (5)按下key4键,基于LM75A数字温度传感器,完成温度的测量,显示温度值保留到小数点后1位,整数部分最高位为零时不显示出来(高位零消影)。 (6)按下key5键,完成基于直流电机的转速测量。 (7)对电压测量值进行简单的数据处理,如去除尖峰干扰的平均滤波:每12个测量值数据为一组,去掉最大值和最小值后的10个测量值进行算术平均后,作为显示值。 (8)将班级学号、开机时间(时:分:秒)、电压值、温度值、转速等同时在LCD液晶显示屏上进行显示。 (二)实验内容 硬件设计 (原理框图)

实验报告书写的基本方法与要求

实验报告书写的基本方法与要求 摘要: 实验目的:本实验最主要的目的 实验方法:对实验对象的主要处理,用何种方法得到或反映的实验数据 实验结果:归纳出变化后的实验数据或结果 实验结论:从本实验结果得出的归纳性的结论 引言:在探索性实验,它是实验的基本依据,也就是要阐明你为什么要做这个实验,拟在什么实验对象上,应用什么方法,观察什么指标。由于我们要求大家做的实验一般都是已知结果的,目的是给大家一个探索新知识的范例。因此,我们这里要求大家要归纳出与本实验有关的背景知识。如:“生理因素和药物对呼吸运动的影响”实验,大家都应该紧紧抓住“呼吸运动”来写。要把呼吸运动的概念、肺通气的原理、影响呼吸运动的因素及神经体液因素对呼吸运动的调节等归纳成为一段话,最后加上本实验最主要的目的就可以了。 材料与方法:实验报告的材料与方法不同于科研论文的材料与方法,科研与论文是探索的新知识,锁使用的仪器试剂必须要罗列出来,目的时要说明自己的结果是大家公认的仪器试剂做出来的,因此,必须详细罗列。学生教学实验运用的一般都是普通的试剂和仪器,实验只是起到培养大家基本的科学思维和方法的作用,因此,没有必要罗列仪器和材料。我们一般只要求大家包括以下内容即可:1、对本实验对象的主要处理:2、使用的主要仪器:3、观测的主要指标:4、实验目的。以“生理因素和药物对呼吸运动的影响”实验为例,我们可以这样表述:对麻醉的家兔气管插管并分离双侧迷走神经,用压力换能器和BL-410生物信号记录系统记录家兔的呼吸曲线,用曲线的疏密代表呼吸的频率变化,用曲线的幅度代表呼吸深度的变化,来观察生理因素和药物对呼吸运动的影响。其他实验可以类推。 结果:结果一般是用三线来表示,当然也可以用图来表示(这里的图不是你们剪辑的图,它是由测量出的数据通过做图软件做出来的),具体的请严格按照或参考你们能够看到的书籍的表或来做。表一:增加CO2,N2,无效腔,乳酸和迷走神经对呼吸运动的影响项目呼吸频率(单位)呼吸幅度(单位)处理前处理后处理前处理后CO2 34 56 10 30 备注:讨论: 讨论一定要根据自己的实验结果讨论,一般的格式是:1、罗列变化(增加或减少;增高或降低;增强或减弱)的结果(国际上一般用%表示)。2、根据以上的变化结果推理出结论。3、分析解释这个结论。如“生理因素和药物对呼吸运动的影响”实验中增加CO2为例:本实验发现,麻醉的家兔保持节律的稳定的呼吸运动其呼吸频率是34次/分、呼吸深度是10mmHg,当吸入适量的CO2后,呼吸频率增加X%,呼吸深度增加Y%,由此可知适量的CO2能够增加呼吸运动。由所学的知识可知,CO2是维持呼吸运动必不可少的最重要的生理刺激因子,血液中的CO2可通过血脑屏障。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。讨论完以后,要给一个总结式的结论。如:由本实验可知,适量增加CO2,N2、、、可增强呼吸运动。。。。。。。。可减弱呼吸运动

SOPC实验报告

SOPC系统设计技术实验报告 姓名: 学号: 院系:信息科学与工程学院 专业:电子科学与技术 指导老师: 完成日期:2015年04月25日

实验二、NIOSII实现串口收发数据及LCD显示 一、实验目的 (1)进一步熟悉Quartus II、SOPC Builder、NIOS II IDE的操作; (2)掌握SOPC硬件系统及NIOS II软件的开发流程。 二、实验内容 (1)、实验平台:硬件:PC级、SmartSOPC+教学实验开发平台;软件:Quartus II 9.0,SOPC Builder 9.0,NIOS II IDE 9.0。 (2)、实验内容:建立包含SDRAM、JTAG_UART、Timer、LCD的NIOS II处理器系统,通过JTAG_UART从IDE的控制端窗口读取输入值N,计算1至N的累加值,并将计算结果及计算花费时间的显示在LCD中。 三、实验步骤 3.1硬件设计 根据实验内容,可以得出本次实验的硬件结构图如图3.1所示: 图3.1 硬件设计结构图

具体硬件设计步骤如下: 1)、在Quartus II中建立一个工程命名为:smallCore,器件设置为EP3C55F484C8; 2)、以原理图输入方式建立空白顶层模块,并保持; 3)、打开SOPC Builder,命名SOPC系统名称为nios2system,开始建立NIOS II系统。 4)、双击SOPC Builder主界面左侧中的“Nios II Processor”,出现Nios II CPU的配置向导对话框,如图1.4所示,在这里可以有三种Nios II CPU选择,我们选择快速型的Nios II/f,不使用硬件乘法器及除法器。然后单击Next进入下一步配置;Instruction Cache项中选择2 Kbytes,在Data Cache项中选择512 Bytes,单击Next进行下一步配置;在“Advanced Features”和“MMU and MPU Settings”选项卡中选择默认参数,然后单击Next,到了“JTAG Debug Module”选项卡,如图1.6所示。这里是选择JTAG调试接口,选择默认的模式Level 1,然后单击Next,到了“Custom Instruction”选项卡,也选择默认参数,最后单击Finish完成对Nios II CPU的配置。 5)、添加了Nios II CPU内核后,选中Module Name下的cpu_0,单击鼠标右键,在Rename 项中可以重命名cpu_0的名称为cpu,并在“Clock Settings”一栏中将clk_0名称改为clk。 6)、双击在SOPC Builder主界面左侧中的Bridges and Adapters→Memory Mapped→Avalon-MM Clock Crossing Bridge,出现Clock Crossing Bridge的配置向导对话框,在“Slave-to-Master FIFO”中的FIFO depth中选择64。单击“finish”退出配置对话框,并重命名clock_crossing_0的名称为clock_crossing。 7)、双击在SOPC Builder主界面左侧中的Memories and Memory Controllers→SDRAM→DDR SDRAM High Performance Controller,出现DDR SDRAM High Performance Controller的配置向导对话框。修改“General Settings”选项卡的参数配置,参数修改如下:Speed grade:8 PLL reference clock frequency:85 Memory clock frequency:100 Local interface clock frequency:full 修改“Modify Parameters”:DDR SDRAM控制器参数,参数修改如下: Total Memory interface DQ width:16 Memory vendor:other

中南大学机械基础实验报告机类

机械基础实验报告 (机械类) 中南大学机械基础实验教学中心 2011年8月 目录 训练一机构运动简图测绘 (1) 实验二动平衡实验 (3) 实验三速度波动调节实验 (4) 实验四机构创意组合实验 (5) 实验五平面机构创新设计及运动测试分析实验 (6) 实验六螺栓联接静动态实验 (7) 实验七螺旋传动效率实验 (8) 实验八带传动实验 (9) 实验九液体动压轴承实验 (10) 实验十机械传动性能综合测试实验 (12) 实验十一滚动轴承综合性能测试分析实验 (13) 实验十二机械传动设计及多轴搭接实验 (14) 实验十三减速器拆装实验 (15)

训练一机构运动简图测绘 专业班级第组姓名成绩 1.一个正确的“机构运动简图”应能说明哪些内容?绘制机构运动简图的基本步骤是什么? 2.机构自由度与原动件的数目各为多少?当机构自由度=原动件的数目,机构的

运动是否确定? 五.收获与建议

实验二动平衡实验 专业班级第组姓名成绩一、实验目的: 二?设备名称: 三?实验数据 实验转速: 四.思考题: 转子动平衡为什么要在左右两个平面上进行平衡?

实验三速度波动调节实验专业班级第组姓名成绩一?实验目的: 二?设备名称: 三?实验数据 1?当转速不变时,采用不同的飞轮,数据记录: 结论:当转速不变时,飞轮转动惯量越大,则机构的速度波动越二?当飞轮不变时,转速变化,数据记录: 结论:当飞轮不变时,转速越大,则机构的速度波动越

实验四机构创意组合实验 专业班级第组姓名成绩 一、机构运动简图(要求符号规范标注参数) 二、机构的设计方案图(复印件) 三、机构有____________个活动构件?有______个低副,其中转动副_______个, 移动副__________个,有____________复合铰链,在_________处?有________处?有__________个虚约束,在__________处? 四、机构自由度数目为F=3n-2PL-PH=3X-2X-0= 五、机构有_________个原动件 在___________处用__________驱动,在__________处用___________驱动? 六、针对原设计要求,按照实验结果简述机构的有关杆件是否运动到位?曲柄是 否存在?是否实现急回特性?最小传动角数值?是否有“卡住”现象?(原无要求的项目可以不作涉及) 七、指出在机构中自己有所创新之处? 八、指出机构的设计存在的不足之处,简述进一步改进的设想?

指纹实验报告

中央民族大学生命与环境科学学院 遗传学实验报告 人类指纹的采集识别与分析 2014年11月9日 人类指纹的采集识别与分析 前言 遗传学研究中根据遗传性状的表现特征将其分为两类,即数量性状(quantitative character)和质量性状(qualitative character)。质量性状通常差异显著,呈不连续变异, 由主基因决定,杂交子代的表型呈现出一定的比例,可直接采用孟德尔遗传原理进行分析。 数量性状不同于质量性状,数量性状是可以度量的性状,呈连续变异,由多基因决定,各基 因作用微小并且是累加的,呈剂量效应,因此通常要采用统计学方法分析。指纹性状就是属 于数量形状。 1880年henry fauld及william herschel相继提出利用指纹鉴定个人身份的 设想。 galton研究了有血缘关系的人群的指纹证明了指纹花样对人来说是一个稳定的性状。 1924 年挪威女科学家bonnevie提出指嵴数计数法。指纹在胚胎发育第13周开始形成,第 19周完成。因此如有某种遗传或生理因素造成嵴纹发育不良既能在指纹上反映出来。本实 验中,同学采用石墨粉填充沟纹再用透明胶粘手指的方法取自己的指纹,并利用这些指纹进 行指嵴数计数、分析,从而对多基因遗传的特点有了更深刻地认识。 1. 材料和方法&设备和方法 2b铅笔一只;约20cm×10cm的复印纸一张;透明胶带;直尺一把个人电脑及adobe photoshop软件;拍照设备一台。 2. 实验原理 1.人类指纹的形成:指纹是指人手上的条状纹路,它们的形成依赖于胚胎发育时的环境 和遗传因素。指纹属于多基因遗传,在胚胎第12~13周(也有人提出15~16周)即已形成并 保持终生不变。每个人的指纹都是独一无二的,两人之间甚至双胞胎之间,不存在相同的手 指指纹。拥有相同指纹的可能性在10亿分之一以下。因此指纹被称做是无法伪造的身份证。 对一个个体而言,指纹具有唯一性和稳定性。 2.肤(皮纹)与指纹皮纹包括指纹、掌纹和褶纹。指纹为最常用的皮纹。大量研究表明, 某些遗传病,特别是一些染色体病和先天畸形常伴有特殊的皮纹异常。所以皮纹检查可以 作为某些遗传病诊断的辅助指标。 3.指纹分析的常用指标—— a.类型——3类:弓(a) ,箕(l),斗(w) ,6亚类:as ,at ; lu ,lr ; ws,wd ; b.总嵴纹数——trc (tfrc ,指纹总嵴线数 c.atd角 d.指纹强度指数(pattern intensity index, pid )——pid = (2 w +l)/n = (2 w +l) /10 (w 是斗型纹的百分率,l是箕型纹的百分率,n 是常数(10个手指).) 4.类型分类 a.弓形纹:由几条平行的弧形嵴纹组成。纹线由指的一侧延伸到另一侧,中间隆起成弓 形。弓形纹又可分为两种,一种是中间隆起较平缓的弧形弓,另一种是中央隆起很高的帐形 弓。 b.箕形纹:这种纹有两个特征,①有几条嵴纹从手指一侧发出,向指尖方向弯曲,再折 回发出的一侧,形成一种簸箕状的纹线;②有一个由三组纹线形成的三叉点或称三角区 (delta)。根据箕口的开口方向分为尺箕(或正箕,开口朝本手尺骨一侧,即小指方向)和 桡箕(或反箕,开口朝着桡骨一侧,即拇指方向)。 c.斗形纹(又称螺纹或涡形纹):它有 两个特征,①有两个三叉点(如果你在一个指纹上找到三个或三个以上的三叉点,那可能是 杂形纹);②由几条环形线或螺形线的嵴纹绕着中心点形成一个回路,或者有形成回路的趋

实验报告格式与要求

作业格式要求 一、作业题目 围绕如何学习信息安全专业课程,掌握专业知识等内容自拟题目并进行论述。 二、用纸、页面设置要求 作业应按规定格式用计算机打印,纸张大小一律使用A4复印纸,单面打印。 页面设置:每一面的上方(天头)和下方(地脚)应留边25mm左右,左侧(订口)和右侧(切口)应分别留边317mm左右。页码设置为:插入页码,居中。 三、作业内容打印要求 作业中所有标点符号必须是中文全角逗号、句号。 (一)目录 采用四号字,其中每章题目用黑体字,每节题目用宋体字,并注明各章节起始页码,题目和页码用“……”相连,如下所示: 目录(黑体小3号) (自然空一行) 第一章 XXXXXXXX ……………………………………………1 (黑体小4号) 1.1 XXXXXX ………………………………………………2 (宋体小4号) 1.1.1 XXXXX …………………………………………6 (宋体小4号) 第二章 XXXXXXXXXX ………………………………………40(黑体小4号)(二)正文字体要求 每章题目居中、黑体小三号;每节题目左顶边、宋体四号加黑;每小节题目左顶边、宋体小四号加黑。正文文字用宋体小四号汉字和小四号“Times New Roman”英文字体,每自然段首行缩进2个字符。 (三)行间距要求 每章题目与每节题目之间的行距设置:每章题目后设单倍行距,段后0.5 行。

每节题目与小节题目之间的行距设置:每节题目后设单倍行距,段后0.5 行。 正文行距设置:设多倍行距,设置值为1.25。 (四)正文章节序号编制 章,编写为:第一章,第二章…。 节,编写为:1. 1、1. 2…,2. 1、2. 2…。 小节,编写为:1. 1. 1, 1. 1. 2…。 小节以下层次,先以括号为序,如(1),(2)…;再以圈圈为序,如①, ②…。层次采用如下格式: 例如: 第一章 XXXXXXXX(黑体小三号)(单倍行距,段后0.5行) 1. 1 XXXXXXXX(宋体四号加黑)(单倍行距,段后0.5行) 1.1. 1 xxxxxx(宋体小四号加黑) (首行缩进2个字符)(1)xxxxx(小四号宋体) (首行缩进2个字符)① xxxxxx(小四号宋体) (下一章另起一页) 第二章 XXXXXXXX(黑体小三号)(单倍行距,段后0.5行) 2. 1 XXXXXXXX(宋体四号加黑)(单倍行距,段后0.5行) 2.1. 1 xxxxxx(宋体小四号加黑) (首行缩进2个字符)(1)xxxxx(宋体小四号) (首行缩进2个字符)① xxxxxx(宋体小四号) (五)报告的公式、图与表 公式号以章分组编号,如(2-4)表示第二章的第4个公式。 公式尽量采用公式编辑应用程序输入,选择默认格式,公式号右对齐,公式调整至基本居中。 图与表中的文字小于正文中的文字字号。 图与表以章分组编序号,如图3-5表示第三章的第5幅图。

sopc实验指导书(1)

CON目录 第一章实验箱简介 (2) 第二章EDA实验单元 (5) 实验一七人表决器 (5) 实验二格雷码变换 (13) 实验三BCD码加法器 (15) 实验四四位全加器 (17) 实验五四人抢答器 (19) 实验六四位并行乘法器 (20) 实验七设计基本触发器 (21) 实验八设计74LS169计数器功能模块 (25) 实验九步长可变的加减计数器 (27) 实验十可控脉冲发生器 (28) 实验十一正负脉宽数控调制信号发生器 (30) 实验十二序列检测器 (32) 实验十三四位并行流水乘法器 (34) 实验十四出租车计费器 (37) 实验十五多功能数字钟 (39) 实验十六数字秒表 (41) 实验十七频率计 (43) 实验十八交通灯控制器 (45) 实验十九数码锁 (47) 实验二十VGA彩条发生器 (49) 附录 (51)

第一章实验箱简介 EDA/SOPC实验箱是集EDA和SOPC开发为一体的综合性实验箱,它不仅可以独立完成几乎所有的EDA设计,也可以完成大多数的SOPC开发。 采用Altera公司的Cyclone系列的12万门FPGA为核心,整个系统采用模块化设计,各个模块之间可以自由组合,使得该实验箱的灵活性大大提高。同时实验箱还提供了丰富的接口模块,供人机交互,从而大大增加了实验开发者开发的乐趣,满足了普通高等院校、科研人员等的需求。 开发工程师可以使用VHDL语言、Verilog HDL语言、原理图输入等多种方式,利用Altera公司提供的Quartus II及Nios软件进行编译,下载,并通过EDA/SOPC实验箱进行结果验证。实验箱提供多种人机交互方式,如键盘阵列、按键、拨挡开关输入;七段码管、大屏幕图形点阵LCD显示;串口通信;VGA接口、PS2接口、USB接口、Ethernet接口等,利用Altera 公司提供的一些IP资源和Nios 32位处理器,用户可以在该实验箱上完成不同的SOPC设计。 EDA/SOPC实验箱提供的资源有: ●Altera公司的EP1C6Q240C8,12万门级FPGA,另外可选配更高 资源的FPGA ●FPGA配置芯片采用可在线变成的EPC2,通过JTAG口和简单的 跳线即可完成设计的固化 ●1个数字时钟源,提供48MHz、12MHz、1MHz、100KHz、10KHz、 1KHz、100Hz、10Hz、2Hz和1Hz等多个时钟 ●1个模拟信号源,提供频率和幅度可调的正弦波、三角波和方波 ●两个串行接口,一个用于SOPC开发时的调试,另一个可以完成 其它的通信 ●1个VGA接口 ●1个PS2接口,可以接键盘或鼠标 ●1个USB接口,利用PDIUSBD12芯片实现USB协议转换 ●1个Ethernet接口,利用RTL8019芯片实现TCP/IP协议转换 ●基于SPI接口的音频CODEC模块 ●1个输入、输出探测模块,供数字信号的观察 ●16个LED显示 ●8个拨挡开关输入 ●8个按键输入 ●1个4X4键盘阵列 ●8个七段码管显示 ●1个扬声器模块 ●1个交通灯模块

中南大学制造系统自动化技术实验报告整理

制造系统自动化技术 实验报告 学院:机电工程学院 班级:机制**** 姓名:张** 学号: *********** 指导教师:李** 时间: 2018-11-12 实验一柔性自动化制造系统运行实验 1.实验目的 (1)通过操作MES终端软件,实现对柔性制造系统的任务下达和控制加工,让学生

了解智能制造的特征及优势。 (2)通过创意性的实验让学生了解自动化系统总体方案的构思。 (3)通过总体方案的构思让学生了解该系统的工作原理,并学会绘制控制系统流程图,掌握物料流、信息流、能量流的流动路径。 (4)通过总体方案的构思让学生掌握各机械零部件、传感器、控制元器件的工作原理及性能。 (5)通过实验系统运行让学生了解运行的可靠性、安全性是采用何种元器件来实现的,促进学生进行深层次的思考和实践。 2.实验内容 (1)仔细观察柔性自动化制造系统的实现,了解柔性自动化制造系统的各个模块,熟悉各个模块的机械结构。 (2)了解各种典型传动机构的组装、工作原理、以及如何实现运动方向和速度的改变; (3)学习多种传感器的工作原理、性能和使用方法; (4)了解典型驱动装置的工作原理、驱动方式和性能; (5)理解柔性制造系统的工作原理,完成柔性制造系统的设计、组装; (6)实现对柔性制造系统的控制与检测,完成工件抓取、传输和加工。

3.实验步骤 (1)柔性制造系统的总体方案设计; (2)进行检测单元的设计; (3)进行控制系统的设计; (4)上下料机构的组装与检测控制; (5)物料传输机构的组装与实现; (6)柔性制造系统各组成模块的连接与控制; (7)柔性制造系统各组成单元的状态与工件状态位置的检测; (8)对机器人手动操作,实现对工件的抓取、传输。 4. 实验报告 ①该柔性自动化制造系统由哪几个主要的部分组成; 主要由:总控室工作站、AGV小车输送物料机构、安川机器人上下料工作站、法那科机器人上下料工作站、ABB机器人组装工作站、视觉检测及传送工作站、激光打标工作站、堆垛机及立体仓储工作站。 ②画出该柔性自动化制造系统的物料传输系统结构简图;

大学物理实验报告要求

大学物理实验报告要求 大学物理实验报告要求 一、预习报告要求 1.预习报告包括实验名称,实验目的,实验仪器,实验原理,实验步骤五个部分,采用学校统一的“中原工学院信息商务学院实践性环节报告用纸”书写,不允许打印。 2.预习报告要求有一定的字数,不能过少,该有的图、表一定要画上。 3.预习报告内容要求能反映实验所有环节,学生能直接看预习报告完成实验的内容。 4.无预习报告者不允许进入实验室做实验。 二、原始数据记录要求 1.原始数据记录要求清晰明了,该有的物理量、包括单位一定要写上。 2.原始数据必须得到实验老师的认可,有实验老师的签名才算有效。 3.原始数据要求用黑色或蓝色字迹签字笔书写(画图除外)。 4.原始数据记录一经教师签字即不允许作任何改动,否则视为无效。 三、实验报告要求 1.实验报告包括实验名称,实验目的,实验仪器,实验原理,实验步骤,数据记录,数据处理、思考题七个部分,采用学校统一的“中原工学院信息商务学院实践性环节报告用纸”书写,不允许打印。 2.实验报告中实验数据记录要求将原始数据的数据在实验报告中重新誊写一份,以便处理,不能直接使用原始数据记录或者在原始数据记录页上直接处理数据。 3.数据处理中所有要求画图的处理方式均应在正果的坐标纸上进行作图。 4. 实验报告和预习报告不能互用,预习报告中写过的部分实验报告要求重写。 5.实验报告数据处理要求有详细地处理步骤,不能仅有最终答案。误差处理参考课本第一章和第二章。 四、实验报告装订要求 1.装订实验报告时要求实验报告在前、实验原始数据在中间、预习报告在后统一装订在一起,不要分开装订。 2.报告的第一页要求写清楚自己的姓名、班级、学号,缺一不可。 五、实验报告上交要求 1.上交实验报告时以班级为单位放好,每班放置一摞,不要管是否同一个实验。 2.一般是第二周或者第二次做实验时上交第一个实验的实验报告。 大学物理实验预习报告

FPGA设计实验指导书(2013)

《FPGA设计》实验指导书

安全操作注意事项 1、接插下载电缆前,请务必关闭实验箱开关,避免损坏下载电缆或实验箱器件。 2、操作过程中应防止静电。 3、保持实验箱和电路板的表面清洁。 4、小心轻放,避免不必要的硬件损伤或者人身受伤。 实验箱简介

实验一简单组合逻辑设计 一、实验目的和任务 1、熟习Quartus II软件的使用; 2、掌握用原理图输入法和硬件描述语言(Verilog HDL)两种方法来设计逻 辑电路; 3、通过电路的仿真及验证,进一步了解4选1数据选择器的功能; 二、实验内容 1、用原理图输入法来设计4选1数据选择器 参照按图1-1所示来编辑完成4选1数据选择器的原理图输入,其中a、b、c、d 为数据输入端,sel[1]、sel[0]为控制输入端,q为4选1数据输出端。存盘仿真后,观察仿真波形,以验证数据选择器的功能。 图1-1 4选1数据选择器原理图 2、用Verilog HDL硬件描述语言来设计4选1数据选择器 用QuartusII中的文本编辑器,编辑输入4选1数据选择器源程序:module m41( a, b, c, d, sel, q); input a,b,c,d; input [1:0]sel; output q; reg q; always @( sel) case(sel) 2’b00: q=a; 2’b01: q=b;

2’b11: q=d; endcase endmodule 程序中的a 、b 、c 、d 依然为数据输入端,sel[1]、sel[0]为控制输入端,q 为4选1数据输出端。同样存盘后进行仿真,并观察仿真波形,以验证数据选择器的功能。 三、实验仪器、设备及材料 电脑、EDA 软件、实验箱、下载电缆。 四、实验原理 4选1数据选择器的原理框图及真值表如图1-2及表1-1所示,sel[1:0]可能出现四种组合情况: 00 01 10 11,它分别对应选通四个不同的数据输入a 、b 、c 、d ,从q 端输出。结合以前所学数字电路的知识,可由真值表得出利用“与非门”实现的逻辑电路,进而可用QuartusII 原理图输入方法,设计出该4选1数据选择器;如应用EDA 技术所学的Verilog HDL 硬件描述语言来描述该电路功能,即可设计出该4选1数据选择器的源程序。 图1-2 4选1数据选择器的原理框图 q Sel[1]输出 选择输入 0a 01b 00 c 11 d 1 Sel[0]表1-1 真值表 五、重点、难点 d a b c

中南大学机械制造工艺学实验报告

机械制造工艺学实验报告 班级机械1301 姓名黄佳清 学号 07

中南大学机电学院 《机械制造工艺学》课程实验报告 实验名称:加工误差的统计分析 姓名:黄佳清班级:机械1301 学号: 07 实验日期: 2015 年 10 月 18 日指导教师:成绩: 1. 实验目的 (1)掌握加工误差统计分析方法的基本原理和应用。 (2)掌握样本数据的采集与处理方法,要求:能正确地采集样本数据,并能通过对样本 数据的处理,正确绘制出加工误差的实验分布曲线和图。 (3)能对实验分布曲线和图进行正确地分析,对加工误差的性质、工序能力及工艺 稳定性做出准确的鉴别。 (4)培养对加工误差进行综合分析的能力。 2. 实验内容与实验步骤

1.按加工顺序测量工件的加工尺寸,记录测量结果。 2.绘制直方图和分布曲线 1)找出这批工件加工尺寸数据的最大值x max和最小值x min,按下式计算出极差R。 R=x max一x min 2)确定分组数K(K一般根据样本容量来选择,建议可选在8~11之间)。 3)按下式计算组距 d。 4)确定组界(测量单位:微米)。 5)做频数分布表。 6)计算x和 。 7)画直方图 以样本数据值为横坐标,标出各组组界;以各组频率密度为纵坐标,画出直方图。 8)画分布曲线 若工艺过程稳定,则误差分布曲线接近正态分布曲线;若工艺过程不稳定,则应根据实际情况确定其分布曲线。画出分布曲线,注意使分布曲线与直方图协调一致。 9)画公差带 在横轴下方画出公差带,以便与分布曲线相比较。 3.绘制图 1)确定样组容量,对样本进行分组

样组容量m 通常取4或5件。按样组容量和加工时间顺序,将样本划分成若干个样组。 2)计算各样组的平均值和极差 对于第i 个样组,其平均值和极差计算公式为: ∑==m j ij i x m x 1 1 式中 ——第i 个样组的平均值; ——第i 个样组的标准差; ——第i 个样组第j 个零件的测量值; ——第i 个样组数据的最大值; ——第i 个样组数据的最小值 3)计算图控制限(计算公式见实验原理) 4)绘制 图 以样组序号为横坐标,分别以各样组的平均值和极差R 为纵坐标,画出图,并在图上标出中心线和上、下控制限。 4. 按下式计算工序能力系数Cp 5. 判别工艺过程稳定性 可按下表所列标准进行判别。注意,同时满足表中左列3个条件,工艺过程稳定;表中右列条件之一不满足,即表示工艺过程不稳定。

实验报告书写要求

实验报告书写要求 实验报告的书写是一项重要的基本技能训练。它不仅是对每次实验的总结,更重要的是它可以初步地培养和训练学生的逻辑归纳能力、综合分析能力和文字表达能力,是科学论文写作的基础。因此,参加实验的每位学生,均应及时认真地书写实验报告。要求内容实事求是,分析全面具体,文字简练通顺,誊写清楚整洁。 实验报告内容与格式 (一) 实验名称 要用最简练的语言反映实验的内容。如验证某现象、定律、原理等,可写成“验证×××”;分析×××。 (二) 所属课程名称 (三) 学生姓名、学号、及小组成员 (四) 实验日期和地点(年、月、日) (五) 实验目的 目的要明确,在理论上验证定理、公式、算法,并使实验者获得深刻和系统的理解,在实践上,掌握使用实验设备的技能技巧和程序的调试方法。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 (六) 实验内容 这是实验报告极其重要的内容。要抓住重点,可以从理论和实践两个方面考虑。这部分要写明依据何种原理、定律算法、或操作方法进行实验。详细理论计算过程. (七) 实验设备与材料 实验用的设备和材料。 (八) 实验步骤 只写主要操作步骤,不要照抄实习指导,要简明扼要。还应该画出实验流程图(实验装置的结构示意图),再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 (九) 实验结果 实验现象的描述,实验数据的处理等。原始资料应附在本次实验主要操作者的实验报告上,同组的合作者要复制原始资料。 对于实验结果的表述,一般有三种方法: 1. 文字叙述: 根据实验目的将原始资料系统化、条理化,用准确的专业术语客观地描述实验现象和结果,要有时间顺序以及各项指标在时间上的关系。

【学号显示实验报告】 EDA sopc

成绩指导教师日期 张歆奕2013-5-26 五邑大学实验报告 实验课程名称: 电子系统EDA 院系名称:信息学院 专业名称:电子信息工程 实验项目名称:学号显示 班级: AP10352 学号: 报告人:

实验一:学号显示器 一、实验目的 1、练习使用Verilog HDL语言设计实现数字电路。 2、练习利用Verilog HDL语言和状态机设计电路。 3、熟悉EDA开发基本流程。 4、掌握多个数码管动态扫描显示的原理及设计方法。 二、实验原理 用数码管除了可以显示0~9的阿拉伯数字外,还可以显示一些英语字母。数码管由7段显示输出,利用7个位的组合输出,就可以形成部分英语字母和0~9十个数字的显示。共阴数码管0~9和常见字母的7段显示关系如表4-1所示 (共阳数码管对共阴取反)。

三、设计任务 设计任务(一) 1、用Verilog HDL设计单个数码管顺序显示学号(按一次按键,显示下一位学号字符); 2、对设计进行仿真; 3、锁定管脚并下载到开发板进行验证。 设计任务(二) 1、用Verilog HDL设计八个数码管显示学号,并向左或者向右滚屏; 2、对设计进行仿真; 3、锁定管脚并下载到开发板进行验证。 四、设计源程序和说明 设计任务(一)学号显示源程序 module xuehao_display(clk,rst,out); input clk,rst; output reg[6:0] out; reg[3:0] state; parameter s0=4'd0,s1=4'd1,s2=4'd2,s3=4'd3,s4=4'd4,s5=4'd5,s6=4'd6,s7=4'd7,s8=4'd8; always@(posedge clk or negedge rst) begin if(!rst) begin out =7'b1111111;state=s0;end else case(state) s0:begin out=7'b0001000;state<=s1;end//显示A s1:begin out=7'b0011000;state<=s2;end//显示P s2:begin out=7'b1001111;state<=s3;end//显示1 s3:begin out=7'b0000001;state<=s4;end//显示0 s4:begin out=7'b0000110;state<=s5;end//显示3 s5:begin out=7'b0100100;state<=s6;end//显示5 s6:begin out=7'b0010010;state<=s7;end//显示2 s7:begin out=7'b1001111;state<=s8;end//显示1 s8:begin out=7'b0000110;state<=s1;end//显示3 default:state<=0; endcase end endmodule 程序详解:这是一个非常简单的程序,定义8个输出变量,然后给他们赋值,使他们分别为A P 1 0 3 5 2 1 3,然后通过数码管显示出来。 设计任务(二)滚屏显示源程序

相关主题
文本预览
相关文档 最新文档