当前位置:文档之家› 实验七:SOPC的设计实验

实验七:SOPC的设计实验

实验七:SOPC的设计实验
实验七:SOPC的设计实验

本科学生综合性实验报告

学号114090523 姓名罗朝斌

学院物电学院专业、班级11光电子

实验课程名称电子设计自动化(EDA实验)

教师及职称罗永道副教授

开课学期2013 至2014 学年下学期填报时间2014 年 6 月9 日

云南师范大学教务处编印

实验序号七实验名称SOPC的设计

实验时间2014年6月9日实验室同析楼113

一.实验预习

1.实验目的:

1、学习字符型液晶显示器的控制原理

2、学习ram的使用

3、设计字符型液晶显示控制器

4、理解nios 处理器中LCD IP 核的工作原理,软硬件驱动方式,以及从flash

启动LCD 软件代码的详细操作过程,并能够灵活的运用到自己的实际开发中。

5、掌握SOPC的设计方法,熟悉和练习QuartusⅡ的应用。

2.实验原理、实验流程或装置示意图:

液晶屏幕分为点阵型和液晶型两种,本次实验用到的是字符型可以显示2行16个字。液晶模块采用14 针标准接口第1 脚:VSS为地电源第2 脚:VDD接5V 正电源第3 脚:V0 为液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高,对比度过高时会产生“鬼影”,使用时可以通过一个10K 的电位器调整对比度第4 脚:RS为寄存器选择,高电平时选择数据寄存器、低电平时选择指令寄存器。第5 脚:RW为读写信号线,高电平时进行读操作,低电平时进行写操作。当RS 和RW 共同为低电平时可以写入指令或者显示地址,当 RS 为低电平RW 为高电平时可以读忙信号,当RS 为高电平RW 为低电平时可以写入数据。第 6 脚:E端为使能端,当E 端由高电平跳变成低电平时,液晶模块执行命令。第7~14 脚:

D0~D7 为8 位双向数据线。

1602正面图

1602背面图

1602 液晶模块内部的字符发生存储器(CGROM)已经存储了160 个不同的点阵字符图形,如表1 所示,这些字符有:阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符都有一个固定的代码,比如大写的英文字母“A”的代码是0100_0001B (41H),显示时模块把地址41H 中的点阵字符图形显示出来,我们就能看到字母“A”

1602LCD采用标准的14脚(无背光)或16脚(带背光)接口,各引脚接口说明如表10-13所示:

编号符号引脚说明编号符号引脚说明

1 VSS 电源地9 D

2 数据

2 VDD 电源正极10 D

3 数据

3 VL 液晶显示偏压11 D

4 数据

4 RS 数据/命令选择12 D

5 数据

5 R/W 读/写选择13 D

6 数据

E 使能信号14 D7 数据

7 D0 数据15 BLA 背光源正极

8 D1 数据16 BLK 背光源负极

1602液晶模块的读写操作、屏幕和光标的操作都是通过指令编程来实现的。(说明:1为高电平、0为低电平)

指令1:清显示,指令码01H,光标复位到地址00H位置。

指令2:光标复位,光标返回到地址00H。

指令3:光标和显示模式设置 I/D:光标移动方向,高电平右移,低电平左移 S:

屏幕上所有文字是否左移或者右移。高电平表示有效,低电平则无效。

指令4:显示开关控制。 D:控制整体显示的开与关,高电平表示开显示,低电平表示关显示 C:控制光标的开与关,高电平表示有光标,低电平表示无光标 B:控制光标是否闪烁,高电平闪烁,低电平不闪烁。

指令5:光标或显示移位 S/C:高电平时移动显示的文字,低电平时移动光标。

指令6:功能设置命令 DL:高电平时为4位总线,低电平时为8位总线 N:低电平时为单行显示,高电平时双行显示 F: 低电平时显示5x7的点阵字符,高电平时显示5x10的点阵字符。

指令7:字符发生器RAM地址设置。

指令8:DDRAM地址设置。

指令9:读忙信号和光标地址 BF:为忙标志位,高电平表示忙,此时模块不能接收命令或者数据,如果为低电平表示不忙。

指令10:写数据。

指令11:读数据。

与HD44780相兼容的芯片时序表如下:

读状态输入RS=L,R/W=H,E=H 输出D0—D7=状态字

输出无

写指令输入RS=L,R/W=L,D0—D7=指令码,E=高

脉冲

读数据输入RS=H,R/W=H,E=H 输出D0—D7=数据

输出无

写数据输入RS=H,R/W=L,D0—D7=数据,E=高脉

3.实验设备及材料

电脑一台,QuartusII 实验平台,EDA实验箱、EDA开发板

4.实验方法步骤及注意事项

实验方法步骤

1、先将工程HSDSP2812拷贝到D盘根目录下。

2、D:\HSDSP2812\example\fgpa\SOPC\helloworld 然后再进入QuartusII 环

境,打开工程文件。

3、通过JTAG方式将FPGA配置文件下载到开发板中。

4、SOPC系统搭建好后,进入IDE开发环境,进行C代码开发

5、将开发后的代码下载到Sopc 系统中,系统开始运行,即可在LCD 上看到显示

的结果!

注意事项

1、工程文件名一定要为HSDSP2812,否则编译错误。

2、首先需要搭建SOPC系统,才可以进行C程序的开发和下载。

3、SOPC系统搭建好后,不能关闭开发板的电源,否则SOPC系统将消失,需从新

搭建SOPC系统。

4、在C程序开发工程中,如果程序中输出语句要显示汉字,则1602不能显示。原

因是1602显示屏中没有自带汉字字库。

二.实验内容

程序如下:

#include"system.h"

#include"alt_types.h"

#include"altera_avalon_pio_regs.h"

#include

#include

#define ESC 27

#define ESC_TOP_LEFT "[1;0H"

#define ESC_COL2_INDEX1 "[2;1H"

int main (void)

{

alt_u8 seg[8]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07}; volatile int j;

FILE *lcd;

FILE *fp;

fp=fopen("/dev/uart","w");

int i;

lcd=fopen("/dev/lcd_0","w");

fprintf(lcd,"%c%s This is hseda!",ESC,ESC_TOP_LEFT); fprintf(fp,"wellcome to hseda!\n");

while(1)

{

for(j=0;j<8;j++)

{

fprintf(fp,"wellcome to hseda RS232!\n");

fprintf(lcd,"%c%swelcome to nios!",ESC,ESC_COL2_INDEX1); IOWR_ALTERA_AVALON_PIO_DATA(LED_SD_BASE, seg[j]);

i = 0;

while (i<800000)

i++;

fprintf(lcd,"%c%https://www.doczj.com/doc/543220892.html,!!",ESC,ESC_COL2_INDEX1); i = 0;

while (i<800000)

i++;

}

}

fclose(lcd);

fclose(fp);

return 0;

}

SOPC 原理图

VCC

clk

INPUT VCC

rst

INPUT VCC

RXD

INPUT sdram_addr[11..0]OUTPUT sdram_ba[1..0]OUTPUT sdram_cas OUTPUT sdram_cke OUTPUT sdram_cs

OUTPUT sdram_ras OUTPUT sdram_we OUTPUT flash_ce OUTPUT flash_oe OUTPUT flash_we OUTPUT sdram_clk

OUTPUT

TXD

OUTPUT

SEL0OUTPUT SEL1OUTPUT flash_addr[21..0]OUTPUT FLASH_RST

OUTPUT

LCD_RS OUTPUT

LCD_RW OUTPUT LCD_E OUTPUT SD[7..0]

OUTPUT sdram_dqm[1..0]OUTPUT REAL1OUTPUT REAL2

OUTPUT

VCC flash_data[7..0]BIDIR VCC LCD_D[7..0]BIDIR VCC sdram_data[15..0]BIDIR clkin rst_n

reset_n delay_rst_block

inst3

VCC Cyclone II

inclk0 frequency: 50.000 MHz

Operation Mode: Normal Clk RatioPh (dg)D C (%)

c01/10.0050.00c21/10.0050.00

inclk0

c0

c2altpll0

inst1clk reset_n

rxd_to_the_uart

LCD_E_from_the_lcd_0 LCD_RS_from_the_lcd_0 LCD_RW_from_the_lcd_0

out_port_from_the_led_sd[7..0]

zs_addr_from_the_sdram[11..0] zs_ba_from_the_sdram[1..0] zs_cas_n_from_the_sdram zs_cke_from_the_sdram zs_cs_n_from_the_sdram zs_dqm_from_the_sdram[1..0] zs_ras_n_from_the_sdram zs_we_n_from_the_sdram address_to_the_cfi_flash[21..0] read_n_to_the_cfi_flash select_n_to_the_cfi_flash write_n_to_the_cfi_flash

txd_from_the_uart

LCD_data_to_and_from_the_lcd_0[7..0]

zs_dq_to_and_from_the_sdram[15..0]

data_to_and_from_the_cfi_flash[7..0]

lcd_flash_nios

inst4

GND

CAMMPAY

HSDSP2812HEADER

HUASHENG TECHNOLOGY DESIGNER

ZhangZhenYan

NUMBER 1.00REV

A

DATE

Tue Oct 12 15:31:38 2010SHEET 1

OF

1

第一步程序执行下载:第一步程序下载完成:SOPC中的ALTPLL

SOPC Builder

Avalon-MM Tristate Bridge

Interval Timer

Flash Memory(CFI) SDRAM Controller

UART PIO

C haracter LC

D 最终程序下载:

2.对实验现象、实验结果的分析及其结论

实验运行结果如下:

有实验现象可知,1602上显示,数码管上显示

(00,11,22,33,44,55,66,77,88,99)。所以本次设计是成功的。教师评语及评分:

签名:年月日

四选一、四位比较器、加法器、计数器、巴克码发生器、七人表决器

EDA实验报告 姓名: 学号: 班级:

实验14选1数据选择器的设计 一、实验目的 1.学习EDA软件的基本操作。 2.学习使用原理图进行设计输入。 3.初步掌握器件设计输入、编译、仿真和编程的过程。 4.学习实验开发系统的使用方法。 二、实验仪器与器材 1.EDA开发软件一套 2.微机一台 3.实验开发系统一台 4.打印机一台 三、实验说明 本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。 本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。 实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。学会管脚锁定以及编程下载的方法等。 四、实验要求 1.完成4选1数据选择器的原理图输入并进行编译; 2.对设计的电路进行仿真验证; 3.编程下载并在实验开发系统上验证设计结果。 五、实验结果 4选1数据选择器的原理图: 仿真波形图:

管脚分配:

实验2 四位比较器 一、实验目的 1.设计四位二进制码比较器,并在实验开发系统上验证。 2.学习层次化设计方法。 二、实验仪器与器材 1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明 本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和 0123B B B B ,输出为M (A=B ),G (A>B )和L (A

SOPC课程设计实验报告--基于 NIOS 的 μCOS-II 实验

FPGA-CPLD原理及应用课程设计报告题目:基于NIOS的μC/OS-II实验 学院:信息与电子工程学院 专业:电子科学与技术 学号: 姓名: 指导老师: 时间:2013-7-15~2013-7-20

一、摘要 本实验项目使用Quartus II、SOPC Builder和Nios II EDS从零开始构建一个能够在DE2-115实验平台上运行的μC/OS-II操作系统的Nios II系统。初学者可以借此范例熟悉Quartus II、SOPC Builder、Nios II EDS的使用,并且了解基于FPGA的嵌入式系统开发流程。 关键词:SOPC Builder Nios II DE2Nios II EDS 二、设计要求 从零开始建立一个基于Nios II的μC/OS-II应用实验系统(也可以认为是一个Nios II+μC/OS-II的应用框架)具有以下一些作用。 (1)读者可以借助SOPC Builder工具自行对Nios II软核处理器进行配置。 (2)很多范例都是纯硬件的VHDL代码,需要自行从零开始建立Nios II 系统,不能够直接使用Altera公司已经建立好的Nios II系统。 (3)DE2-115并非Altera公司原创的开发板,而是友晶科技ODM的电路板,很多外围设备都与Altera提供的电路板不一样,所以很多Altera手册中范例都无法执行,必须要有自己从硬件到软件建立系统的能力,将来才有办法将Altera 提供的范例移植到DE2-115上执行并做到最佳化。 三、设计内容” 1、SOPC Builder硬件建立 SOPC Builder是在Quartus II里的SOPC Builder进行的,先建立工程在SOPC Builder里添加硬件,包括CPU,PLL,onchip_memory,SSRAM,SDRAM Tristate Bridge,Flash,JTAG UART,UART,Timer System ID 2、Quartus II硬件处理 硬件会自动建立一个顶层模块,通过建一个原理图来对对应的硬件进行输入输出的添加,再锁定引脚,编译工程,硬件下载。 3、Nios II DE2嵌入软件编写 在Nios II里建立工程,选择相应的模块,编写需要嵌入的软件,添加缺少的头文件对应的宏定义,编译工程,进行软件下载,在观察结果。 四、设计步骤 1、打开Quartus II新建工程

组合逻辑电路实验设计

H a r b i n I n s t i t u t e o f T e c h n o l o g y 组合逻辑电路实验设计 血型匹配情况判断电路 一、实验题目: 人的血型有A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表 B、10代表AB、11代表O。) 图1 二、电路设计: 方案一: 解: 1、题目分析

根据题意,确定有4个输入变量,设为X、Y、M、N;输出变量为P。 其中,用两个逻辑变量X、Y的四中取值表示输血者的血型:00代表A型、01代表B型、10代表AB型、11代表O型。 用另外两个逻辑变量M、N的四种取值表示受血者的血型:00代表A型、01代表B型、10代表AB型、11代表O型。 逻辑输出变量P代表输血者与受血者的血型符合情况:1代表血型符合,0代表血型不符合。 题目中要求用八选一数据选择器(74LS151)及与非门(74LS00)实现电路设计。 2、列写输入与输出变量真值表: 真值表如下图所示 3、逻辑表达式: 根据真值表画出卡诺图:

卡诺图如右图所示: 用八选一数据选择器(74LS151),所以输出逻辑表达式写成最小项和的形式:设X 、Y 、M 为选择变量,X 为高位。 逻辑函数P 的与或标注型表达式: P (X ,Y ,M ,N ) X Y M N X Y M N X Y M N X Y M N X Y M N =+++++ 4、比较表达式: 与标准表达式比较得:267P Nm N m(0,1,3,5)m m =+∑++ 所以,数据选择器中EN=0,0135D D D D N ==== D 2=N ,D 4=0, D 6=D 7=1, 5、逻辑电路图:

实验报告

电子科技大学电子工程学院实验报告 实验名称现代电子技术综合实验 姓名: 学号: 评分: 教师签字 电子科技大学教务处制

电子科技大学 实验报告 学生姓名:学号:指导教师:习友宝 实验地点:331 实验时间:(5—8周)周一5,6,7,8节 一、实验室名称:电子技术综合实验室 二、实验项目名称:基于单片机的多任务的控制系统的实现 三、实验学时:16 四、实验目的与任务: 1、熟悉系统设计与实现原理 2、掌握KEIL C51的基本使用方法 3、熟悉SMART SOPC实验箱的应用 4、连接电路,编程调试,实现各部分的功能 5、完成系统软件的编写与调试 五、实验器材 1、PC机一台 2、SMART SOPC实验箱一套 六、实验原理、步骤及内容 (一)试验要求(以课件要求为准) 基本要求: (1)程序运行后,在8位数码管上显示自己的班级学号(后8位),如2902002001,显示为“02002001”。 (2)定义5个按键(key1、key2、key3、key4、key5)作为功能选择键。每次按下key2时,为“秒表计时器”(定时中断实现),显示从“00.00.00.00”开始,即00时00分00秒00(1/100秒,即10ms)。当按下key1时,返回到显示

班级学号;按下其他功能键时,进入其他功能。 (3)按下key3键时,基于TLC549 A/D转换器进行电压测量(输入电压来自电位器,调节范围0~2.49V,单位:V),并将电压值显示在8位数码管的后3位。 (4)在上面(3)要求基础上,调节电位器,若输入电压超过2.00V,则声光报警,即用发光二极管指示灯(如LED1)闪烁(亮0.5s、灭0.5s);蜂鸣器响(用500Hz方波驱动);若输入电压低于2.00V后,则撤销声光报警。 扩展要求: (5)按下key4键,基于LM75A数字温度传感器,完成温度的测量,显示温度值保留到小数点后1位,整数部分最高位为零时不显示出来(高位零消影)。 (6)按下key5键,完成基于直流电机的转速测量。 (7)对电压测量值进行简单的数据处理,如去除尖峰干扰的平均滤波:每12个测量值数据为一组,去掉最大值和最小值后的10个测量值进行算术平均后,作为显示值。 (8)将班级学号、开机时间(时:分:秒)、电压值、温度值、转速等同时在LCD液晶显示屏上进行显示。 (二)实验内容 硬件设计 (原理框图)

实验报告书写的基本方法与要求

实验报告书写的基本方法与要求 摘要: 实验目的:本实验最主要的目的 实验方法:对实验对象的主要处理,用何种方法得到或反映的实验数据 实验结果:归纳出变化后的实验数据或结果 实验结论:从本实验结果得出的归纳性的结论 引言:在探索性实验,它是实验的基本依据,也就是要阐明你为什么要做这个实验,拟在什么实验对象上,应用什么方法,观察什么指标。由于我们要求大家做的实验一般都是已知结果的,目的是给大家一个探索新知识的范例。因此,我们这里要求大家要归纳出与本实验有关的背景知识。如:“生理因素和药物对呼吸运动的影响”实验,大家都应该紧紧抓住“呼吸运动”来写。要把呼吸运动的概念、肺通气的原理、影响呼吸运动的因素及神经体液因素对呼吸运动的调节等归纳成为一段话,最后加上本实验最主要的目的就可以了。 材料与方法:实验报告的材料与方法不同于科研论文的材料与方法,科研与论文是探索的新知识,锁使用的仪器试剂必须要罗列出来,目的时要说明自己的结果是大家公认的仪器试剂做出来的,因此,必须详细罗列。学生教学实验运用的一般都是普通的试剂和仪器,实验只是起到培养大家基本的科学思维和方法的作用,因此,没有必要罗列仪器和材料。我们一般只要求大家包括以下内容即可:1、对本实验对象的主要处理:2、使用的主要仪器:3、观测的主要指标:4、实验目的。以“生理因素和药物对呼吸运动的影响”实验为例,我们可以这样表述:对麻醉的家兔气管插管并分离双侧迷走神经,用压力换能器和BL-410生物信号记录系统记录家兔的呼吸曲线,用曲线的疏密代表呼吸的频率变化,用曲线的幅度代表呼吸深度的变化,来观察生理因素和药物对呼吸运动的影响。其他实验可以类推。 结果:结果一般是用三线来表示,当然也可以用图来表示(这里的图不是你们剪辑的图,它是由测量出的数据通过做图软件做出来的),具体的请严格按照或参考你们能够看到的书籍的表或来做。表一:增加CO2,N2,无效腔,乳酸和迷走神经对呼吸运动的影响项目呼吸频率(单位)呼吸幅度(单位)处理前处理后处理前处理后CO2 34 56 10 30 备注:讨论: 讨论一定要根据自己的实验结果讨论,一般的格式是:1、罗列变化(增加或减少;增高或降低;增强或减弱)的结果(国际上一般用%表示)。2、根据以上的变化结果推理出结论。3、分析解释这个结论。如“生理因素和药物对呼吸运动的影响”实验中增加CO2为例:本实验发现,麻醉的家兔保持节律的稳定的呼吸运动其呼吸频率是34次/分、呼吸深度是10mmHg,当吸入适量的CO2后,呼吸频率增加X%,呼吸深度增加Y%,由此可知适量的CO2能够增加呼吸运动。由所学的知识可知,CO2是维持呼吸运动必不可少的最重要的生理刺激因子,血液中的CO2可通过血脑屏障。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。讨论完以后,要给一个总结式的结论。如:由本实验可知,适量增加CO2,N2、、、可增强呼吸运动。。。。。。。。可减弱呼吸运动

七人表决器实验报告

七人表决器 一.实验目的 1.掌握Quartus II软件安装,熟悉Quartus II操作环境。 2.初步了解VHDL语言。 3.学习使用行为级描述方法设计电路。 二.实验原理 七人表决器 使用7个电平开关作为表决器的7个输入变量,输入为电平“1”时表示表决者“赞同”,输入为电平“0”时表示表决者“不赞同”。当表决器的7个输入变量中有不少于4个输入变量输入“1”,那么表决结果输出逻辑高电平,表示表决“通过”,否则,输出逻辑低电平,表示表决“不通过”。 七人表决器的可选设计方案非常多,可以采用使用全加器的组合逻辑。使用VHDL 进行设计的时候,可以选择行为级描述、寄存器级描述,结等方法。 当采用行为级描述的时候,采用一个变量记载选举通过的总人数。当这个变量的数值大于等于4时,表决通过,绿灯亮;否则表决不通过,黄灯亮。因此,设计时,需要检查每一个输入的电平,并且将逻辑高电平的输入数目进行相加,并且进行判断,从而决定表决是否通过。 二.实验内容 1.安装Quartus II软件,熟悉Quartus II操作环境。 2.使用VHDL实现上述描述。 3.波形仿真。 4.生成元件以及RTL 四.设计提示 1.初次接触VHDL应该注意程序的框架结构,数据类型和运算操作符。 2.了解变量和信号的区别。 3.了解进程内外语句的顺序和并行执行的区别。 4.设计文本的端口可如下:

《VHDL 语言与数字逻辑电路设计》实验指导书 - 2 – 设计文本: LIBRARY IEEE; library ieee; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_unsigned.ALL; ENTITY vote7 IS PORT( men:in std_logic_vector(6 downto 0); LedPass,LedFail:OUT std_logic ); END vote7; ARCHITECTURE behave OF vote7 IS signal pass:std_logic; BEGIN PROCESS(men) variable temp:std_logic_vector(2 downto 0); BEGIN temp:="000"; for i in 0 to 6 loop if(men(i)='1')then temp:=temp+1; else temp:=temp+0; end if; end loop; pass<=temp(2); END PROCESS; LedPass<='1' WHEN pass='1'ELSE '0'; LedFail<='1' WHEN pass='0'ELSE '0'; --库和程序包 --实体 --结构体 --结束

指纹实验报告

中央民族大学生命与环境科学学院 遗传学实验报告 人类指纹的采集识别与分析 2014年11月9日 人类指纹的采集识别与分析 前言 遗传学研究中根据遗传性状的表现特征将其分为两类,即数量性状(quantitative character)和质量性状(qualitative character)。质量性状通常差异显著,呈不连续变异, 由主基因决定,杂交子代的表型呈现出一定的比例,可直接采用孟德尔遗传原理进行分析。 数量性状不同于质量性状,数量性状是可以度量的性状,呈连续变异,由多基因决定,各基 因作用微小并且是累加的,呈剂量效应,因此通常要采用统计学方法分析。指纹性状就是属 于数量形状。 1880年henry fauld及william herschel相继提出利用指纹鉴定个人身份的 设想。 galton研究了有血缘关系的人群的指纹证明了指纹花样对人来说是一个稳定的性状。 1924 年挪威女科学家bonnevie提出指嵴数计数法。指纹在胚胎发育第13周开始形成,第 19周完成。因此如有某种遗传或生理因素造成嵴纹发育不良既能在指纹上反映出来。本实 验中,同学采用石墨粉填充沟纹再用透明胶粘手指的方法取自己的指纹,并利用这些指纹进 行指嵴数计数、分析,从而对多基因遗传的特点有了更深刻地认识。 1. 材料和方法&设备和方法 2b铅笔一只;约20cm×10cm的复印纸一张;透明胶带;直尺一把个人电脑及adobe photoshop软件;拍照设备一台。 2. 实验原理 1.人类指纹的形成:指纹是指人手上的条状纹路,它们的形成依赖于胚胎发育时的环境 和遗传因素。指纹属于多基因遗传,在胚胎第12~13周(也有人提出15~16周)即已形成并 保持终生不变。每个人的指纹都是独一无二的,两人之间甚至双胞胎之间,不存在相同的手 指指纹。拥有相同指纹的可能性在10亿分之一以下。因此指纹被称做是无法伪造的身份证。 对一个个体而言,指纹具有唯一性和稳定性。 2.肤(皮纹)与指纹皮纹包括指纹、掌纹和褶纹。指纹为最常用的皮纹。大量研究表明, 某些遗传病,特别是一些染色体病和先天畸形常伴有特殊的皮纹异常。所以皮纹检查可以 作为某些遗传病诊断的辅助指标。 3.指纹分析的常用指标—— a.类型——3类:弓(a) ,箕(l),斗(w) ,6亚类:as ,at ; lu ,lr ; ws,wd ; b.总嵴纹数——trc (tfrc ,指纹总嵴线数 c.atd角 d.指纹强度指数(pattern intensity index, pid )——pid = (2 w +l)/n = (2 w +l) /10 (w 是斗型纹的百分率,l是箕型纹的百分率,n 是常数(10个手指).) 4.类型分类 a.弓形纹:由几条平行的弧形嵴纹组成。纹线由指的一侧延伸到另一侧,中间隆起成弓 形。弓形纹又可分为两种,一种是中间隆起较平缓的弧形弓,另一种是中央隆起很高的帐形 弓。 b.箕形纹:这种纹有两个特征,①有几条嵴纹从手指一侧发出,向指尖方向弯曲,再折 回发出的一侧,形成一种簸箕状的纹线;②有一个由三组纹线形成的三叉点或称三角区 (delta)。根据箕口的开口方向分为尺箕(或正箕,开口朝本手尺骨一侧,即小指方向)和 桡箕(或反箕,开口朝着桡骨一侧,即拇指方向)。 c.斗形纹(又称螺纹或涡形纹):它有 两个特征,①有两个三叉点(如果你在一个指纹上找到三个或三个以上的三叉点,那可能是 杂形纹);②由几条环形线或螺形线的嵴纹绕着中心点形成一个回路,或者有形成回路的趋

实验报告格式与要求

作业格式要求 一、作业题目 围绕如何学习信息安全专业课程,掌握专业知识等内容自拟题目并进行论述。 二、用纸、页面设置要求 作业应按规定格式用计算机打印,纸张大小一律使用A4复印纸,单面打印。 页面设置:每一面的上方(天头)和下方(地脚)应留边25mm左右,左侧(订口)和右侧(切口)应分别留边317mm左右。页码设置为:插入页码,居中。 三、作业内容打印要求 作业中所有标点符号必须是中文全角逗号、句号。 (一)目录 采用四号字,其中每章题目用黑体字,每节题目用宋体字,并注明各章节起始页码,题目和页码用“……”相连,如下所示: 目录(黑体小3号) (自然空一行) 第一章 XXXXXXXX ……………………………………………1 (黑体小4号) 1.1 XXXXXX ………………………………………………2 (宋体小4号) 1.1.1 XXXXX …………………………………………6 (宋体小4号) 第二章 XXXXXXXXXX ………………………………………40(黑体小4号)(二)正文字体要求 每章题目居中、黑体小三号;每节题目左顶边、宋体四号加黑;每小节题目左顶边、宋体小四号加黑。正文文字用宋体小四号汉字和小四号“Times New Roman”英文字体,每自然段首行缩进2个字符。 (三)行间距要求 每章题目与每节题目之间的行距设置:每章题目后设单倍行距,段后0.5 行。

每节题目与小节题目之间的行距设置:每节题目后设单倍行距,段后0.5 行。 正文行距设置:设多倍行距,设置值为1.25。 (四)正文章节序号编制 章,编写为:第一章,第二章…。 节,编写为:1. 1、1. 2…,2. 1、2. 2…。 小节,编写为:1. 1. 1, 1. 1. 2…。 小节以下层次,先以括号为序,如(1),(2)…;再以圈圈为序,如①, ②…。层次采用如下格式: 例如: 第一章 XXXXXXXX(黑体小三号)(单倍行距,段后0.5行) 1. 1 XXXXXXXX(宋体四号加黑)(单倍行距,段后0.5行) 1.1. 1 xxxxxx(宋体小四号加黑) (首行缩进2个字符)(1)xxxxx(小四号宋体) (首行缩进2个字符)① xxxxxx(小四号宋体) (下一章另起一页) 第二章 XXXXXXXX(黑体小三号)(单倍行距,段后0.5行) 2. 1 XXXXXXXX(宋体四号加黑)(单倍行距,段后0.5行) 2.1. 1 xxxxxx(宋体小四号加黑) (首行缩进2个字符)(1)xxxxx(宋体小四号) (首行缩进2个字符)① xxxxxx(宋体小四号) (五)报告的公式、图与表 公式号以章分组编号,如(2-4)表示第二章的第4个公式。 公式尽量采用公式编辑应用程序输入,选择默认格式,公式号右对齐,公式调整至基本居中。 图与表中的文字小于正文中的文字字号。 图与表以章分组编序号,如图3-5表示第三章的第5幅图。

实验一组合逻辑电路设计

实验一 组合逻辑电路的设计 一、实验目的: 1、 掌握组合逻辑电路的设计方法。 2、 掌握组合逻辑电路的静态测试方法。 3、 加深FPGA 设计的过程,并比较原理图输入和文本输入的优劣。 4、 理解“毛刺”产生的原因及如何消除其影响。 5、 理解组合逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、组合逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 通常组合逻辑电路可以用图1.1所示结构来描述。其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。输入和输出之间的逻辑函数关系可用式1.1表示: 2、组合逻辑电路的设计方法 组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。 在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。组合电路的基本设计步骤可用图1.2来表示。 3、组合逻辑电路的特点及设计时的注意事项 ①组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。(实际电路中 图 1.1 组合逻辑电路框图 L0=F0(X0,X1,···Xn) · · · Lm=F0(X0,X1,···Xn) (1.1) 图 1.2 组合电路设计步骤示意图图

大学物理实验报告要求

大学物理实验报告要求 大学物理实验报告要求 一、预习报告要求 1.预习报告包括实验名称,实验目的,实验仪器,实验原理,实验步骤五个部分,采用学校统一的“中原工学院信息商务学院实践性环节报告用纸”书写,不允许打印。 2.预习报告要求有一定的字数,不能过少,该有的图、表一定要画上。 3.预习报告内容要求能反映实验所有环节,学生能直接看预习报告完成实验的内容。 4.无预习报告者不允许进入实验室做实验。 二、原始数据记录要求 1.原始数据记录要求清晰明了,该有的物理量、包括单位一定要写上。 2.原始数据必须得到实验老师的认可,有实验老师的签名才算有效。 3.原始数据要求用黑色或蓝色字迹签字笔书写(画图除外)。 4.原始数据记录一经教师签字即不允许作任何改动,否则视为无效。 三、实验报告要求 1.实验报告包括实验名称,实验目的,实验仪器,实验原理,实验步骤,数据记录,数据处理、思考题七个部分,采用学校统一的“中原工学院信息商务学院实践性环节报告用纸”书写,不允许打印。 2.实验报告中实验数据记录要求将原始数据的数据在实验报告中重新誊写一份,以便处理,不能直接使用原始数据记录或者在原始数据记录页上直接处理数据。 3.数据处理中所有要求画图的处理方式均应在正果的坐标纸上进行作图。 4. 实验报告和预习报告不能互用,预习报告中写过的部分实验报告要求重写。 5.实验报告数据处理要求有详细地处理步骤,不能仅有最终答案。误差处理参考课本第一章和第二章。 四、实验报告装订要求 1.装订实验报告时要求实验报告在前、实验原始数据在中间、预习报告在后统一装订在一起,不要分开装订。 2.报告的第一页要求写清楚自己的姓名、班级、学号,缺一不可。 五、实验报告上交要求 1.上交实验报告时以班级为单位放好,每班放置一摞,不要管是否同一个实验。 2.一般是第二周或者第二次做实验时上交第一个实验的实验报告。 大学物理实验预习报告

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案? 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 2)由表2-1全加器真值表写出函数表达式。

3)将上面两逻辑表达式转换为能用四2输入异或门(74LS86)和四2输入与非门(74LS00)实现的表达式。 4)画出逻辑电路图如图2-1,并在图中标明芯片引脚号。按图选择需要的集成块及门电路连线,将A i、B i、C i接逻辑开关,输出Si、Ci+1接发光二极管。改变输入信 号的状态验证真值表。 2.在一个射击游戏中,每人可打三枪,一枪打鸟(A),一枪打鸡(B),一枪打兔子(C)。 规则是:打中两枪并且其中有一枪必须是打中鸟者得奖(Z)。试用与非门设计判断得奖的电路。(请按照设计步骤独立完成之) 五、实验报告要求: 1.画出实验电路连线示意图,整理实验数据,分析实验结果与理论值是否相等。 2.设计判断得奖电路时需写出真值表及得到相应输出表达式以及逻辑电路图。 3.总结中规模集成电路的使用方法及功能。

SOPC实验报告

SOPC系统设计技术实验报告 姓名: 学号: 院系:信息科学与工程学院 专业:电子科学与技术 指导老师: 完成日期:2015年04月25日

实验二、NIOSII实现串口收发数据及LCD显示 一、实验目的 (1)进一步熟悉Quartus II、SOPC Builder、NIOS II IDE的操作; (2)掌握SOPC硬件系统及NIOS II软件的开发流程。 二、实验内容 (1)、实验平台:硬件:PC级、SmartSOPC+教学实验开发平台;软件:Quartus II 9.0,SOPC Builder 9.0,NIOS II IDE 9.0。 (2)、实验内容:建立包含SDRAM、JTAG_UART、Timer、LCD的NIOS II处理器系统,通过JTAG_UART从IDE的控制端窗口读取输入值N,计算1至N的累加值,并将计算结果及计算花费时间的显示在LCD中。 三、实验步骤 3.1硬件设计 根据实验内容,可以得出本次实验的硬件结构图如图3.1所示: 图3.1 硬件设计结构图

具体硬件设计步骤如下: 1)、在Quartus II中建立一个工程命名为:smallCore,器件设置为EP3C55F484C8; 2)、以原理图输入方式建立空白顶层模块,并保持; 3)、打开SOPC Builder,命名SOPC系统名称为nios2system,开始建立NIOS II系统。 4)、双击SOPC Builder主界面左侧中的“Nios II Processor”,出现Nios II CPU的配置向导对话框,如图1.4所示,在这里可以有三种Nios II CPU选择,我们选择快速型的Nios II/f,不使用硬件乘法器及除法器。然后单击Next进入下一步配置;Instruction Cache项中选择2 Kbytes,在Data Cache项中选择512 Bytes,单击Next进行下一步配置;在“Advanced Features”和“MMU and MPU Settings”选项卡中选择默认参数,然后单击Next,到了“JTAG Debug Module”选项卡,如图1.6所示。这里是选择JTAG调试接口,选择默认的模式Level 1,然后单击Next,到了“Custom Instruction”选项卡,也选择默认参数,最后单击Finish完成对Nios II CPU的配置。 5)、添加了Nios II CPU内核后,选中Module Name下的cpu_0,单击鼠标右键,在Rename 项中可以重命名cpu_0的名称为cpu,并在“Clock Settings”一栏中将clk_0名称改为clk。 6)、双击在SOPC Builder主界面左侧中的Bridges and Adapters→Memory Mapped→Avalon-MM Clock Crossing Bridge,出现Clock Crossing Bridge的配置向导对话框,在“Slave-to-Master FIFO”中的FIFO depth中选择64。单击“finish”退出配置对话框,并重命名clock_crossing_0的名称为clock_crossing。 7)、双击在SOPC Builder主界面左侧中的Memories and Memory Controllers→SDRAM→DDR SDRAM High Performance Controller,出现DDR SDRAM High Performance Controller的配置向导对话框。修改“General Settings”选项卡的参数配置,参数修改如下:Speed grade:8 PLL reference clock frequency:85 Memory clock frequency:100 Local interface clock frequency:full 修改“Modify Parameters”:DDR SDRAM控制器参数,参数修改如下: Total Memory interface DQ width:16 Memory vendor:other

实验报告书写要求

实验报告书写要求 实验报告的书写是一项重要的基本技能训练。它不仅是对每次实验的总结,更重要的是它可以初步地培养和训练学生的逻辑归纳能力、综合分析能力和文字表达能力,是科学论文写作的基础。因此,参加实验的每位学生,均应及时认真地书写实验报告。要求内容实事求是,分析全面具体,文字简练通顺,誊写清楚整洁。 实验报告内容与格式 (一) 实验名称 要用最简练的语言反映实验的内容。如验证某现象、定律、原理等,可写成“验证×××”;分析×××。 (二) 所属课程名称 (三) 学生姓名、学号、及小组成员 (四) 实验日期和地点(年、月、日) (五) 实验目的 目的要明确,在理论上验证定理、公式、算法,并使实验者获得深刻和系统的理解,在实践上,掌握使用实验设备的技能技巧和程序的调试方法。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 (六) 实验内容 这是实验报告极其重要的内容。要抓住重点,可以从理论和实践两个方面考虑。这部分要写明依据何种原理、定律算法、或操作方法进行实验。详细理论计算过程. (七) 实验设备与材料 实验用的设备和材料。 (八) 实验步骤 只写主要操作步骤,不要照抄实习指导,要简明扼要。还应该画出实验流程图(实验装置的结构示意图),再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 (九) 实验结果 实验现象的描述,实验数据的处理等。原始资料应附在本次实验主要操作者的实验报告上,同组的合作者要复制原始资料。 对于实验结果的表述,一般有三种方法: 1. 文字叙述: 根据实验目的将原始资料系统化、条理化,用准确的专业术语客观地描述实验现象和结果,要有时间顺序以及各项指标在时间上的关系。

七人表决器实验报告

竭诚为您提供优质文档/双击可除七人表决器实验报告 篇一:哈工大电工学新技术实践实验报告-7人表决器 总成绩: 一、设计任务 1、有七人参与表决,显示赞同者个数。 2当赞同者达到及超过4人时,绿灯显示表示通过。 二、设计条件 本设计基于软件multisim10.0.1进行仿真,在电机楼实验室20XX5进行验证。 三、设计要求 1、熟悉74Ls161,74Ls151,数码管的工作原理。 2、设计相应的电路图,标注元件参数,并进行仿真验证。 四、设计内容 1.电路原理图(含管脚接线)电路原理图如图1所示 图1电路原理图 2.计算与仿真分析

仿真结果如图2、3、4所示 图2仿真结果 图4仿真结果 4.调试流程 调试流程如图5所示 图5调试流程 5.设计和使用说明 74Ls151芯片为互补输出的8选1数据选择器,引脚排列如图6所示,功能见表1。选择控制端(地址端)为c~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,g为使能端,低电平有效。 (1)使能端g=1时,不论c~A状态如何,均无输出(Y=0,w=1),多路开关被禁止。 (2)使能端g=0时,多路开关正常工作,根据地址码c、b、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。如:cbA=000,则选择D0数据到输出端,即Y=D0。如:cbA=001,则选择D1数据到输出端,即Y=D1,其余类推。 图674Ls151引脚排列 表174Ls151功能表 74Ls161功能: (1)异步置“0”功能:接好电源和地,将清除端接低

电平无论其他各输入端的状态如何,测试计数器的输出端,如果操作无误Q3~Q0均为0。 (2)预置数功能:将清除端接高电平,预置控制端接低电平,数据输入端D3~D0置0011,在cp的上升沿作用后,测试输出端Q3~Q0的电平。如果操作准确,D3~D0的数据为0011,说明D3~D0的数据已预置到Q3~Q0端。 (3)计数和进位功能:将LD、cr、ceT、cep端均接高电平,cLK端输入单脉冲,记录输出端状态。如果操作准确,每输入一个cp 脉冲,计数器就进行一 篇二:课程设计报告---七人表决器设计 电子综合设计 题目 学院 专业 班级学生姓名指导教师 七人抢答器设计计信学院电子信息工程 20XX年6月18日 一、设计原理 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器顾名思义就是由七个人

组合逻辑电路实验报告

组合逻辑电路实验报告

图6-1:O型静态险象 如图6-1所示电路 其输出函数Z=A+A,在电路达到稳定时,即静态时,输出F 总是1。然而在输入A变化时(动态时)从图6-1(b)可见,在输出Z的某些瞬间会出现O,即当A经历1→0的变化时,Z出现窄脉冲,即电路存在静态O型险象。 进一步研究得知,对于任何复杂的按“与或”或“或与”函数式构成的组合电路中,只要能成为A+A或AA的形式,必然存在险象。为了消除此险象,可以增加校正项,前者的校正项为被赋值各变量的“乘积项”,后者的校正项为被赋值各变量的“和项”。 还可以用卡诺图的方法来判断组合电路是否存在静态险象,以及找出校正项来消除静态险象。 实验设备与器件 1.+5V直流电源 2.双踪示波器 3.连续脉冲源 4.逻辑电平开关 5.0-1指示器

(3)根据真值表画出逻辑函数Si、Ci的卡诺图 (4)按图6-5要求,选择与非门并接线,进行测试,将测试结果填入下表,并与上面真值表进行比较逻辑功能是否一致。 4.分析、测试用异或门、或非门和非门组成的全加器逻辑电路。 根据全加器的逻辑表达式

全加和Di =(Ai⊕Bi)⊕Di-1 进位Gi =(Ai⊕Bi)·Di-1+Ai·Bi 可知一位全加器可以用两个异或门和两个与门一个或门组成。(1)画出用上述门电路实现的全加器逻辑电路。 (2)按所画的原理图,选择器件,并在实验箱上接线。(3)进行逻辑功能测试,将结果填入自拟表格中,判断测试是否正确。 5.观察冒险现象 按图6-6接线,当B=1,C=1时,A输入矩形波(f=1MHZ 以上),用示波器观察Z输出波形。并用添加校正项方法消除险象。

【学号显示实验报告】 EDA sopc

成绩指导教师日期 张歆奕2013-5-26 五邑大学实验报告 实验课程名称: 电子系统EDA 院系名称:信息学院 专业名称:电子信息工程 实验项目名称:学号显示 班级: AP10352 学号: 报告人:

实验一:学号显示器 一、实验目的 1、练习使用Verilog HDL语言设计实现数字电路。 2、练习利用Verilog HDL语言和状态机设计电路。 3、熟悉EDA开发基本流程。 4、掌握多个数码管动态扫描显示的原理及设计方法。 二、实验原理 用数码管除了可以显示0~9的阿拉伯数字外,还可以显示一些英语字母。数码管由7段显示输出,利用7个位的组合输出,就可以形成部分英语字母和0~9十个数字的显示。共阴数码管0~9和常见字母的7段显示关系如表4-1所示 (共阳数码管对共阴取反)。

三、设计任务 设计任务(一) 1、用Verilog HDL设计单个数码管顺序显示学号(按一次按键,显示下一位学号字符); 2、对设计进行仿真; 3、锁定管脚并下载到开发板进行验证。 设计任务(二) 1、用Verilog HDL设计八个数码管显示学号,并向左或者向右滚屏; 2、对设计进行仿真; 3、锁定管脚并下载到开发板进行验证。 四、设计源程序和说明 设计任务(一)学号显示源程序 module xuehao_display(clk,rst,out); input clk,rst; output reg[6:0] out; reg[3:0] state; parameter s0=4'd0,s1=4'd1,s2=4'd2,s3=4'd3,s4=4'd4,s5=4'd5,s6=4'd6,s7=4'd7,s8=4'd8; always@(posedge clk or negedge rst) begin if(!rst) begin out =7'b1111111;state=s0;end else case(state) s0:begin out=7'b0001000;state<=s1;end//显示A s1:begin out=7'b0011000;state<=s2;end//显示P s2:begin out=7'b1001111;state<=s3;end//显示1 s3:begin out=7'b0000001;state<=s4;end//显示0 s4:begin out=7'b0000110;state<=s5;end//显示3 s5:begin out=7'b0100100;state<=s6;end//显示5 s6:begin out=7'b0010010;state<=s7;end//显示2 s7:begin out=7'b1001111;state<=s8;end//显示1 s8:begin out=7'b0000110;state<=s1;end//显示3 default:state<=0; endcase end endmodule 程序详解:这是一个非常简单的程序,定义8个输出变量,然后给他们赋值,使他们分别为A P 1 0 3 5 2 1 3,然后通过数码管显示出来。 设计任务(二)滚屏显示源程序

实验报告要求

实验目的: 在excel中进行罗伦兹曲线的制作与集中化指数的计算。 仪器设备: 硬件:计算机 软件:Offices 实验内容一: 根据某地区各个亚区的GDP数据制作2000年与2003年的罗伦兹曲线、计算集中化指数,并比较不同年份该地区GDP在亚区之间分布的集中化程度。 1.原始数据: 《计量地理学》教材p45:习题2.8表---某地区各个亚区的GDP数据 2.实验步骤: 操作步骤 3.实验结果及分析: 最终的图形,集中化指数,不同年份对比 实验内容二: 根据某地区各个亚区的人口与GDP数据,将纵、横坐标均以累计百分比表示,制作罗伦兹曲线,并近似的计算基尼系数。 1.原始数据: 《计量地理学》教材p46:习题2.10表---某一地区各个亚区的人口与GDP数据2.实验步骤: 操作步骤 3.实验结果及分析: 最终的图形,基尼系数 心得体会: 个人心得体会

实验目的: 1.在excel中用单纯形法求解线性规划问题; 2..在excel中进行投入产出分析。 仪器设备: 硬件:计算机 软件:Offices 实验内容一: 用单纯形法求解线性规划问题 1.线性规划模型: 《计量地理学》教材p184第四题的第一小题 2.实验步骤: 操作步骤 3.实验结果及分析: 说明x1,x2取何值时得到最优解为多少 实验内容二: 根据某地区某年度各个产业部门之间的投入产出关系,进行投入产出分析1.原始数据: 《计量地理学》教材p224:习题7.2表 2.实验步骤: 针对6个小题,逐一说明如果操作 3.实验结果及分析: 6个小题的答案 心得体会: 个人心得体会

实验三利用SPSS软件对某地区若干统计指标进行统计分析(综合性实验) 实验目的: 利用SPSS软件对某地区若干统计指标进行综合统计分析 仪器设备: 硬件:计算机 软件:SPSS软件 基本原理: 数据的统计处理:数据处理的方法; 聚类分析:聚类分析的原理; 主成分分析:主成分分析的原理。 原始数据: 《计量地理学》教材p116习题3.16表。 实验内容: 1.用最短距离聚类法对2004年某地区35个城市综合实力进行系统聚类分析,并画出聚类谱系图; 2.用主成分分析法对该35个城市7项经济指标进行主成分分析; 3.以第一、二、三主成分为变量,进行聚类分析。 实验步骤: 操作步骤,可参考实验指导书《SPSS在计量地理学中的应用》 实验结果及分析: 根据实验目的总结实验结果并对之进行必要的分析。可以输出实验结果,然后粘贴在实验报告上。 实验体会: 个人心得体会 注: 1.需体现具体数据,如数据量较多,可复印或打印粘贴 2.黑色字体为统一规定,要求照抄; 红色字体为温馨提示,不可照抄。

相关主题
文本预览