当前位置:文档之家› 数字电子技术基础电子教案项目四多功能数字钟的设计与调试

数字电子技术基础电子教案项目四多功能数字钟的设计与调试

教学目标知识目标:了解时序逻辑电路的基本结构及特点;了解时序逻辑电路的分析和设计;了解寄存器和移位寄存器的逻辑功能。

能力目标:掌握常用计数器芯片的使用;掌握任意进制计数器的设计方法;掌握寄存器和移位寄存器的简单应用;能使用仿真软件进行计数器应用电路的设计。

素质目标:具有较扎实的基本功和良好的职业素养,具有较强的独立工作能力和创新精神。

教学

重点

集成计数器等器件的应用

教学

难点

时序电路的分析。

教学

手段

实物演示;教学板书;录像插件;电子课件。

教学

学时

16

教学内容与教学过程设计注释

项目四多功能数字钟的设计与调试

任务一仿真测试十进制计数器的逻辑功能

〖任务目标〗

计数器是数字系统中应用最多的典型时序电路,它不仅具有计数功能,还可以用于定时、

分频、产生序列脉冲等。现在,计数器电路已经大规模集成化了,做成现成的集成电路芯片

供人们使用。本任务就是通过仿真测试的方式,学习计数器以及时序电路的基本知识。

〖知识链接〗

一、时序逻辑电路的基本结构及特点

(一)时序逻辑电路的基本结构

时序逻辑电路的基本结构框图如图4-3所示。

图4-3 时序电路基本结构框图

(二)时序逻辑电路的特点

(1)时序逻辑电路往往包含组合逻辑电路和存储电路两部分,而存储电路是必不可少的。

(2)在存储元件的输出和电路输入之间存在反馈连接,存储电路输出的状态必须反馈到输入端,与输入信号一起共同决定组合逻辑电路的输出。

(三)时序逻辑电路逻辑功能的表示形式

1.状态转换表

将任何一组输入变量及电路现态(初态)的取值代人状态方程和输出方程,即可算出电路的次态和输出值;所得到的次态又成为新的现态,和这时的输入变量取值一起,再代入状态方程和输出方程进行计算,又可得到一组新的次态和输出值。

2.状态转换图

将状态转换表的形式表示为状态转换图是以小圆圈表示电路的各个状态,圆圈中填入存讲解时序逻辑电路的基本结构及特点。

(一)异步计数器

1.异步二进制计数器

(1)异步二进制加法计数器

图4-2 13位二进制加法计数器逻辑图

(2)异步二进制减法计数器

图4-24 4位二进制减法计数器的逻辑图

2.异步十进制加法计数器

异步十进制加法计数器是在4位异步二进制加法计数器的基础上经过适当修改获得的。它跳过了1010~1111六个状态,利用自然二进制数的前十个状态0000~1001实现十进制计数。

(二)同步计数器

1.同步二进制计数器

(1)同步二进制加法计数器

如图4-28所示为由JK触发器组成的4位同步二进制加法计数器,由下降沿触发。

图4-28 4位同步二进制加法计数器逻辑图

(2)同步二进制减法计数器

2.同步十进制加法计数器

四、集成计数器及应用

1.74LS161集成计数器功能介绍

(1)异步清零。

(2)同步并行置数。

(3)计数。

(4)保持。

2.集成异步计数器74LS90功能介绍

(1)异步清零。只要R0(1)=R0(2)=1,R9(1)·R9(2)=0,输出QDQCQBQA=0000,不受CP控制,因而是异步清零。以8421BCD码异步十进制计数器为例讲解。

参照同步二进制加法计数器的分析方法分析其工作原理。

图4-52 单拍工作方式4位基本寄存器电路

2.双拍工作方式基本寄存器

图4-53 双拍工作方式4位基本寄存器逻辑图

(1)清零。(2)送数。(3)保持。

二、移位寄存器

1.单向移位寄存器

主要特点。

(1)单向移位寄存器中的数码,在CP脉冲操作下,可以依次右移或左移。

(2)n位单向移位寄存器可以寄存n位二进制代码。n个CP脉冲即可完成串行输入工作,此后可从Q0~Qn-1端获得并行的n位二进制数码,再用n个CP脉冲又可实现串行输出操作。

(3)若串行输入端状态为0,则n个CP脉冲后,寄存器便被清零。

2.双向移位寄存器

把左移移位寄存器和右移移位寄存器组合起来,加上移位方向控制信号,便可方便地构成双向移位寄存器。

3.集成移位寄存器

三、寄存器的应用

1.环形计数器

环形计数器实际上是一个自循环的移位寄存器。根据初始状态设置的不同,在输入计数脉冲CP的作用下,环形计数器的有效状态可以循环移位一个1,也可以循环移位一个0。

在图4-54所示的4位右移移位寄存器中,若把触发器F3的输出端Q3接到F0的输入端D0便构成了一个4位环形计数器,如图4-58所示。

图4-58 移位寄存器型环形计数器

2.扭环形计数器

扭环形计数器的特点是计数器每次状态变化时仅有一个触发器翻转,因此译码时不存在竞争冒险。其缺点是仍然没有能够利用触发器的所有状态,n位扭环形计数器只有2n个有效状态,有2n-2n个状态没有利用。

数电课程设计+多功能电子时钟

前言 随着电子技术的不断发展,数字电子技术在设计中所体现在出来的优势越来越明显,它不仅是电子信息类专业的一个重要部分,而且在其它类专业工程中也是不可缺少的。报警电路、时序控制电路作为子系统的应用,发展更是迅速,已成为新一代一些电子设备不可缺少的核心部件,其现实生活中的运用也是非常普遍和广泛。 集成数字电子计时器的设计过程中,组成其电路的核心部分是几个电路的设计以其几种芯片功能应用,其中主要包括:控制电路,,校时电路,译码电路,优先编码电路,显示电路等。电子技术的发展促使这些电路被广泛的应用到一系列电子设备当中,时序控制电路也成为数字电子电路设计和制作过程中不可缺少的部分,并且设计简单,易于操作,可靠性好的优点。 对数字电子计时器设计的目的是为了更好的掌握几种芯片的工作原理,学会其电路的设计与主要性能参数测量方法以及掌握电子线路系统的装试和调试技术。本次设计分为四个主要步骤:一:构思和设计分频电路,校时电路和译码电路。二:根据设计要求和选择的电路通过计算选择元器件和参数,并准确无误的设计好要设计的电路原理图。三:在万能板或在面包板上根据设计电路原理进行元器件的电路安装和精细的调试。四:在安装好的电路板上进行闹钟功能的测试。 在此次课程设计的编写过程中参考了多种电子设计资料如《电子线路设计·实验·测试》(第二版),《数子电子技术基础》等。在编写此次课程设计的过程中由于本人的水平有限,在设计的过程中难免出现缺点和不足之处,还请老师批评和指正。

第一章:系统电路设计 1.1 系统总设计思路 数字电子计时器原理框图如图(1.1)所示,电路一般包括以下几个部分:振荡器、分频器、校时电路、时分秒计数器、译码显示电路。 图1.1 数字电子计时器原理框图 对于各部分 (1)振荡器用来产生相应频率的脉冲信号。 (2)分频器用来对振荡器产生的信号进行分频,从而得到电子计数器需要的1Hz秒脉冲。 (3)为使数字钟走时与标准时间一致,校时电路是必不可少的。 设计中采用开关控制校时直接用秒脉冲先后对“时”“分” 计数器进行校时操作。 (4)计数电路,通过计数输出产生相应的二进制码元,

多功能数字电子钟设计

多功能数字电子钟设计 -多功能数字电子钟 多功能数字钟的设计与仿真

一.设计任务与要求 设计任务: 设计一个多功能数字钟。 要求: 1.有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能。(设计秒脉冲发生器) 2.有整点报时功能。(选:上下午、日期、闹钟等) 3. 用中规模、小规模集成电路及模拟器件实现。 4. 供电方式: 5V直流电源 二.设计目的、方案及原理 1.设计目的 (1)熟悉集成电路的引脚安排。 (2)掌握各芯片的逻辑功能及使用方法。 (3)熟悉面包板结构及其接线方法。 (4)熟悉多功能数字钟的构成及工作原理。 (5)熟悉多功能数字钟的设计与制作 2.设计思路 (1)设计数字钟的时、分、秒电路。 (2)设计可预置时间的校时电路。 (3)设计整点报时电路。 3.设计过程 3.1.总体设计方案及其工作原理为: 数字钟原理框图入图1所示,电路通常包含一下几个部分:振荡器、星期、小时、分钟、秒计数器、校时电路、报时电路。数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号务必做到准确稳固。通常使用石英晶体振荡器电路构成数字钟,但也能够用555定时器构成。

图1 系统框图 数字钟计时的标准信号应该是频率相当稳固的1HZ秒脉冲,因此要设置标准时间源。数字钟计时周期是24小时,因此务必设置24计数器,秒、分、时由数码管显示。 为使数字钟走时与标准时间一致,校时电路是必不可少的。设计中使用开关操纵校时电路“时”“分”“秒”计数器进行校时操作。 3.2.各独立功能部件的设计 (1)分、秒计时器(60进制),时计数器(24进制),星期计数器(7进制) 如下图,图中蓝色线为高电平+5v,绿色为接地线,红色线为时钟脉冲。获得秒脉冲信号后,可根据60秒为一分,60分为一小时,24时为一个计数周期的计数规则,分别确定秒、分、时的计数器。由于秒与分的显示都为60进制,因此他们可有两级十进制计数器构成,其中秒与分的个位为十进数器,十位为六进制计数器,可利用两片74160集成电路来实现。74160与74161具有相同的逻辑符号,引脚图与功能表,各引脚图的功能与用法也相同。所不一致的是74160是十进制,而74161是十六进制。因此能够用6片74160构成秒计时器、分计时器、时计时器、星期计时器。

多功能数字时钟设计报告

题目:多功能数字钟设计 学校:广东石油化工学院 学院:机电工程学院 班级:装控09--2 姓名:黄亚冲 学号:16

一、设计任务 多功能数字钟电路设计 二、设计条件 74LS00 4片,74LS48 6片,74LS74 1片,74LS90 5片,74LS92 2片,74LS191 1片,555 1个,数码显示器202 6片,电阻器 6个,电容器 4个,开关 2个 三、设计要求 ①准确计时,以数字形式显示时、分、秒的时间。 ②小时的计时要求为“12翻1”,分和秒的计时要求为60进制进位。 ③校正时间。 4、课程设计工作量:1周内完成对多功能数字钟的设计、仿真、 装配与调试。 四、设计内容 1.设计思想: 数字钟主要分为数码显示器、60进制和12进制计数器、频率振荡器和校时这几个部分。该系统的工作原理是:振荡器产生的稳定高频脉冲信号,作为数字钟的时间基准,在经分频器输出标准秒脉冲。

秒计数器计满60后向分计数器进位,分计数器计满60后向小时计数器进位,小时计数器按照“12翻1”规律计数。计数器的输出经译码器送显示器。计时出现误差时可以用校时电路进行校时、校分、校秒。 图1、多功能数字钟系统组成框图 2.电路结构与原理图 (1)振荡器 振荡器可由晶振组成,也可以由555定时器组成。图3是由555定时器构成的1KHZ的自激振荡器,其原理是0.7(2R3+R4+R5)C4=1ms,f=1/t=1KHZ。计时是1HZ的脉冲才是1S计一次数,所以需要分频才能得到1HZ的脉冲。

图2、晶体振荡器图3、555振荡器 (2)分频器的设计 分频器电路,是三个用十进制计数器74LS90串联而成的分频器,分频原理是在74LS90的输出端子中,从低位输入10个脉冲才从高位输出1个脉冲,这样一片74LS90就可以起十分频的作用,三个74LS90串联就构成了千分频的电路,输出的便是1HZ的信号,从而达到目的。电路图见图4 图4、分频器

数字电子技术基础课程设计数字钟

目录 摘要. (1) ABSTRAC.T (1) 1.电路设计原理及框图. (2) 1.1分析要求,画出原理框图 (2) 1.2单元电路设计 (3) 1.2.1 计数电路. (3) 1.2.2译码显示电路. (5) 1.2.3校时电路. (9) 1.2.4秒脉冲发生器. (11) 1.3 总体电路 (14) 2.仿真测试. (14) 3.元件清单. (16) 4.心得体会. (18) 参考文献. (20)

摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到广泛的使用。数字钟的设计方法有许多种, 例如, 可用中小规模集成电路组成电子钟;也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟;还可以利用单片机来实现电子钟等等。这些 方法都各有其特点,其中利用单片机实现的电子钟具有编程灵活,并便于功能的扩展。这次设计的数字钟分为以下几个模块:秒脉冲发生器,数字显示模块,计数模块,校时模块,抗抖动模块。可完成暂停,调时,整点报时功能。 Abstract A digital clock is a kind of digital circuit technology, minutes and seconds when the timing device, and the mechanical clock is higher than the accuracy and intuitive, and no mechanical device, has long use life, has been widely used. A digital clock design method, for example, there are many kinds of medium scale integrated circuit used electric clock, Also can use special electric clock chips with display circuit and the need of peripheral circuit electric clock, Still can use to realize electric clock chip, etc. These methods are each has its own characteristics, which use the microcontroller programming, flexible and electric clock to function. The design of the digital clock is divided into the following several modules: second pulse generator, digital display module, counting module,

数字电子技术课程设计

数字电子技术课程设计 课题一:多功能数字钟 1.设计要求: 数字钟的功能要求: ①基本功能 以数字形式显示时、分、秒的时间,为节省器件,其中秒的个位用发光二极管指示,小时的十位亦用发光二极管指示,灯亮为“1”,灯灭为“0”。小时计数器的计时要求为“12翻1”。要求手动快速校时、校分或慢校时、慢校分。 ②扩展功能 定时控制,其时间自定;仿广播电台整点报时;触摸报整点时数或自动报整点时数。 2.设计步骤与要求 ①拟定数字钟电路的组成框图,要求设计优化,电路功能多,器件少,成本低。 ②设计并安装各单元电路,要求布线整齐、美观,便于级联与调试。 ③测试数字钟系统的逻辑功能,使满足设计功能的要求。 ④画出数字钟系统的整机逻辑电路图。 ⑤写出课程设计实验报告。 主 体 电 路 扩 展 电 路 元器件清单: NE555 1片 74LS90 5片 74LS92 2片 74LS191 1片 74LS74 1片 74LS00 4片 74LS48 6片 BS202 6个(共阴LED数码管) 电阻680Ω×42,2kΩ×1,3.3kΩ×3,5.1kΩ×1 电位器10kΩ×1, 瓷介电容0.1μF×1,0.01μF×3 按钮开关×2 ----------------------------------------------------------------------------------------------------------------------

课题二:数字式测容仪 1.设计要求: ⑴基本要求: ①设计一个能够测量1μF~99μF的数字显示电容测试仪; ②用2个LED数码管显示测量结果; ③测量精度为±10%(准确值以万用表的测量值为准) ⑵扩展要求: 通过选择量程的方法扩展电容的测量范围,最大要求为0.01μF~1000μF。 图2-1 数字式测容易原理框图 元器件清单: NE555×2片 74LS90×4片 74LS123×1片 74LS273×1片 74LS48×2片 BS202×2个(共阴LED数码管) 74LS00×1片 电阻:68kΩ×1,36kΩ×1,10kΩ×2,2.2 kΩ×1,5.6 kΩ×1,680Ω×14 电位器:50kΩ×1, 瓷介电容:0.01μF×2,0.1μF×1 电解电容:4.7μF×2,10μF×1,22μF×1,47μF×1 按钮开关×1

数电多功能电子时钟课程设计

电子技术课程设计题目名称多功能电子时钟设

一、设计题目:多功能数字钟电路设计 二、设计任务和要求: 1. 以十进制数字形式显示时、分、秒的时间。 2.小时计数器的计时要求为“24翻1”,分钟和秒的时间要求为60进位。 3. 能实现手动快速校时、校分; 4. 具有整点报时功能,报时声响为四低一高,最后一响为整点。 5. 具有定制控制(定小时)的闹钟功能。 6. 画出完整的电路原理图 三、题目分析或内容摘要 数字钟是一个简单的时序组合逻辑电路,数字钟的电路系统主要包括时间显示,脉冲产生,报时,闹钟四部分。脉冲产生部分包括振荡器、分频器;时间显示部分包括计数器、译码器、显示器;报时和闹钟部分主要由门电路构成,用来驱动蜂鸣器。与传统的机械钟相比,数字钟具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。 四、整体构思或方案选择: 数字钟电路系统由主体电路和扩展电路两大部分组成。其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能:报时和定时功能。 该数字钟系统的工作原理是:振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。计数器的输出分别经译码器送显示器显示。计时出现误差时,可以用校时电路校时、校分。各扩展电路必须在主体电路正常运行的情况下才能进行功能扩展。另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。报时声响为前四次低最后一高,最后一响为整点。最后设定闹铃功能,即可在整点设置闹铃。 五具体实现: 1.总体方框图

多功能数字钟的设计及制作

多功能数字钟的设计及制作 1.设计分析 本次设计的数字钟具有校时功能。我们需要在先设计一个基本的数字钟,然后在此基础上增加校时电路。一个基本的数字钟由三个部分组成:秒脉冲产生电路,计数电路,译码显示电路,然后就是加上校时电路,一个四部分构成了本次设计的多功能数字钟,其总体方框图如图1-1 图1-1 总体方框图

2.设计内容 2.1秒脉冲产生部分 本设计使用由555定时器构成的多谐振荡器来产生1HZ的信号。虽然此振荡器没有石英晶体稳定度和精确度高,由于设计简单而成为了设计时的首选。只要在555定时器电路外部配上两个电阻及两个电容元件,并将某些引脚相连,就可以方便地构成多谐振荡器。555定时器是数字脉冲产生的核心芯片,所以在了解其原理之前,我们需了解555定时器。555定时器逻辑符号如图2-1所示: 图2-1 555定时器逻辑符号

管脚功能如表2-1所示: 图2-2 秒脉冲电路 根据原理和元件图,结合一阶电路暂态过程的三要素法,可以计算出充放电的时

间,两者相加即为脉冲周期,脉冲周期的倒数即为脉冲频率。 充电过程的方程式: 2/3Vcc=Vcc+(1/3Vcc-Vcc)e(t1/RC) t1=(R1+R2)C*㏑2=0.7(R1+R2)C 放电过程的方程式: 1/3Vcc=0+(2/3Vcc-0)e(t1/RC) t2=R2*C㏑2=0.7R2*C 脉冲周期为: t=t1+t2=0.7(R1+2R2)C 脉冲频率为: f=1/t=1.43/(R1+2R2)C 令R1=15k,R2=68k,C=0. 01F,(其中0.01F的电容的作用是防干扰的) 代入数据,计算得,f=0.94HZ≈1HZ 基本满足实验要求。 2.2计数部分 计数部分的核心芯片是74LS9074LS90是二---五---十进制异步计数器。它有两个时钟输入CKA和CKB,其中,CPA和Q0组成一位二进制计数器,CKB和Q1Q2Q3组成五进制计数器,若将Q0与CKB相连接,时钟脉冲从CKA输入,则构成了84212BCD码十进制计数器。74LS具有异步清零和异步置九的功能。当R0全是高电平,R9至少有一个为低电平时,实现异步清零。当R0至少有一个低电平,R9全是高电平时,实现异步置九。当R0,R9都有低电平时,实现计数功能。所以74LS90有两个清零端R0(1)、R0(2),两个置数端R9(1)、R9(2)。其逻辑符号如图2-3和功能表如表2-2如下:

EDA实现多功能数字钟课程设计

目录 一、实验任务 (1) 二、关键词 (1) 三、内容摘要 (1) 四、数字钟电路系统组成框图 (2) 五、各个功能模块的实现 (3) (1)小时计时 (3) (2)分钟计时 (3) (3)秒钟计时 (4) (4)校时校分 (5) (5)整点报时 (6) (6)时段控制 (6) 六、数字钟的顶层文件 (7) 七、下载 (8) (1)添加译码模块后的原理图 (8) (2)选用芯片 (8) (3)分配引脚号 (9) (4)器件下载 (9) (5)效果显示 (9) 八、遇到的问题及解决办法 (12) 九、《课程设计》中设计项目完成最终结论 (13) 十、结束语 (13) 十一、附录 (14)

一、实验任务: 用FPGA器件和EDA技术实现多功能数字钟的设计 已知条件:1、QuartusП软件 2、FPGA实验开发装置 基本功能:1、以数字形式显示时、分、秒的时间; 2、小时计数器为24进制; 3、分、秒计数器为60进制。 拓展功能:1、校时、校分(有两个使能端构成,分别为校时、校分功能,同时按无效) 2、仿电台报时(每个小时的59分51、5 3、55、57、59分别以四 长声一短声进行报时) 3、时段控制(让信号显示灯在晚上19点至早上5点灭。之后亮) 二、关键词 小时、分钟计时模块、顶层文件、整点报时、时段控制、下载模块 三、内容摘要 1、设计要求:(1)小时计数器为8421BCD码24制;分和秒计数器为8421BCD 码60进制计数器;(2)拓展功能:①校正“时”和“分”;②整点报时;③时段控制。 2、硬件描述语言设计(Verilog HDL语言)方法在QuartusП软件系统平台上建立数字电子钟电路的顶层文件并完成编译和仿真。 3、输入变量:时钟CPS,直接清零RD;输出变量:小时计时H[7..4]、H[3..0]为8421BCD码输出,其时钟为CPH;之后的分计时、秒计时均为8421BCD码输出,其时钟为CPS等。 4、在顶层文件中,由若干低层模块“打包”组成整个多功能数字钟,分别对各模块作设计及仿真,最后级联各模块,统调、仿真及下载,从而实现各项功能。

数字电子技术基础课程设计:数字钟

目录 目录 (1) 1、设计目的 (2) 2、设计方案 (2) 3、设计原理及其框图 (2) 3.1数字钟的构成 (2) 3.2数字钟的工作原理 (4) 3.3时间计数单元 (5) 3.4译码驱动及显示单元 (6) 3.5校时电源电路 (6) 3.6整点报时电路 (7) 4、元器件 (7) 4.1实验中所需的器材 (7) 4.2芯片内部结构图及引脚图 (8) 4.3面包板内部结构图 (10) 5、功能块电路图 (10) 6、总结 (18) 7、参考文献 (19) 一、设计目的 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。此次设计与制作数字电子钟的目的是让学生在了解数字钟的原理的前提下,运用刚刚学过的数电知识设计并制作数字钟,而

且通过数字钟的制作进一步了解各种在制作中用到的中小规模集成电路的作用及其使用方法。由于数字电子钟包括组合逻辑电路和时序电路,通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法,从而实现理论与实践相结合。 总的来说,此次课程设计,有助于学生对电子线路知识的整合和电子线路设计能力的训练,并为后继课程的学习和毕业设计打下一定的基础。 二、设计方案 1.设计指标 时间以24小时为一个周期; 显示时、分、秒; 有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时; 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。 2.设计要求 画出电路原理图(或仿真电路图); 元器件及参数选择; 电路仿真与调试; 3.编写设计报告 写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 三、设计原理及其框图 1.数字钟的构成 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和一些显示星期、报时、停电查看时间等附加功能。因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”,“星期”计数器、校时电路、报时电路和振荡器组成。数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告 报告内容如下: 一、设计目的和原理 多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功 能的电子设备。本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。 二、设计方案和实现 1.硬件设计方案: 本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过 与FPGA的IO口连接来实现时间的显示功能。同时,使用按键作为输入进 行功能的选择和设置。 2.硬件连接: 将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的 数字信号来控制数码管的亮灭。将按键连接到FPGA的IO口,通过IO口 输入按键的信号。此外,还需要连接一个晶振电路来提供时钟信号。 3.软件设计方案: 本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字 钟的功能。具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时 功能的实现。通过按键的输入来切换不同的状态,实现不同功能的切换和 设置。 4.软件实现具体步骤:

(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。 (2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。 (3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。 (4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。 以下为本设计的完整程序代码: ```vhdl --时钟频率 --定义状态 signal state : state_type; --定义时钟、按键和数码管信号 signal clk : std_logic; signal key : std_logic_vector(1 downto 0); signal seg : std_logic_vector(6 downto 0); --闹钟时间寄存器 signal alarm_hour_reg : std_logic_vector(5 downto 0); signal alarm_min_reg : std_logic_vector(5 downto 0);

数电课设--数字钟的设计

数电课设--数字钟的设计 摘要:该设计主要是设计一种基于数字电路实现的数字钟,用于显示当前时间,同时 设计一个简单的时间调整系统来实现对数字钟的时间调整。本设计实现了数字钟的时间显示、时间调整等功能,具有简单、实用等优点。关键词:数字钟、计数器、时间调整系统 一、引言 数字钟是一种时钟显示设备,它可以在显示面板上显示当前时间,数字钟的普及改变 了人们观念上的关于时间知识的变革。本课设就是要通过设计一个数字钟,来综合应用我 们所学的数字电路知识,通过数字电路的设计实现时间的显示及调整。 二、数字钟的设计原理 数字钟的设计离不开计数器和定时器,计数器的作用是进行计数操作,进而对时间进 行处理,定时器的作用是用来控制计数器的计数和复位,使其能够按照固定的时间序列不 断进行计数。数字钟的显示部分采用数码显示管显示当前时间,数码显示管显示的时间单 位有小时、分钟和秒。 三、数字钟的设计方案 数字钟的设计方案可以分为两部分,一部分是计数器及定时器的设计,另一部分是时 间调整系统的设计。下面分别进行介绍。 (一)计数器及定时器的设计 计数器采用7474型D触发器进行设计,二进制计数器采用模8计数模式,带有异步复位功能。其中,D触发器的Vcc接+5V电源,GND接地,CLK接定时器的输出,D接Q的输出,Q接下一级触发器D端。计数器采用8253/8254型定时器,应该根据标准时钟的频率和预置值计算计数器的频率和复位时间。时间调整功能通常是通过8255接口芯片实现。 (二)时间调整系统的设计 时间调整系统通过单片机实现,主要实现以下功能:上下键切换修改时间单位、按键 快速调整修改时间数字、按键高频稳定范围设置、判断闹钟是否开启、日历选择等。 四、数字钟的实现 数字钟的实现可以参考实验教材进行,实现前需要明确以下几点: 1. 根据实际需求确定数字钟的参数:例如显示的时间格式,以及是否需要设置闹钟等。

多功能数字钟数电课程设计实验报告

(数电课程设计)实验报告 (理工类) 2021 至2021 学年度第二学期 课程名称多功能数字钟电路设计 系别班级电气系11级电子信息工程一班指导教师周旭胜 学号姓名耿王鑫1一、谷和伟12 贺焕13、黄兴荣14 解军1五、井波16 李丰17、李小飞18 梁富慧19

目录 一、设计要求及任务 ...................................................................................... 错误!未定义书签。 二、系统设计方案 ........................................................................................ 错误!未定义书签。 三、器件选择 ................................................................................................ 错误!未定义书签。 1、74LS160............................................................................................... 错误!未定义书签。 2、74LS107............................................................................................... 错误!未定义书签。 3、74LS90................................................................................................. 错误!未定义书签。 显示屏....................................................................................................... 错误!未定义书签。 四、六十进制“秒”计数器设计................................................................... 错误!未定义书签。 五、六十进制“分”计数器设计................................................................... 错误!未定义书签。 六、二十四进制“时”计数器设计............................................................... 错误!未定义书签。 七、分频网络的设计 ...................................................................................... 错误!未定义书签。 八、开关校时电路的设计............................................................................... 错误!未定义书签。 九、整点报时电路的设计............................................................................... 错误!未定义书签。 十、系统整体电路设计................................................................................... 错误!未定义书签。十一、改良意见及收成体会........................................................................... 错误!未定义书签。

多功能数字钟课程设计报告

课题名称姓名 电子技术课程设计报告书学号院、系、部专业指 导教师 2016年6月12日

、设计任务及要求: 用中小规模集成芯片设计并制作多功能数字钟,具体要求如下: 1、准确及时,以数字形式显示时(00〜23)、分(00〜59)、秒(00 59)的时间。 2、具有校时功能。 指导教师签名: 2016年6月日 二、指导教师评语: 指导教师签名: 2016年6月日 三、成绩 指导教师签名: 2016年6月日

多功能数字钟课程设计报告 1 设计目的 一、设计原理与技术方法:包括:电路工作原理分析与原理图、元器件选择与参数计算、电路调试方法与结果说明;软件设计说明书与流程图、软件源程序代码、软件调试方法与运行结果说明。 1、电路工作原理分析与原理图 数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。由于标准的1Hz 时间信号必须做到准确稳定,所以通常使用输出频率稳定的石英晶体振荡器电路构成数字钟的振源。又由于计数的起始时间不可能与标准时间 (如北京时间) 一致,故需要在电路上加一个校时电路。因此一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲后,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。由以上分析可得到原理框图如下图 图1 实验原理框图 2、元器件选择与参数计算 ( 1)晶体振荡电路:产生秒脉冲既可以采用555 脉冲发生电路也可以采用晶振脉冲发生电路。若由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源,可使555与RC组成多谐振荡器,产生频率f=1kHz的方波信号,再通过分频则可得到秒脉冲信号。晶体振荡器电路则可以给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。 相比二者的稳定性,晶振电路比555电路能够产生更加稳定的脉冲,数字电路中的时钟是由振荡器产生的,振荡器是数字钟的核心。振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,所以最后决定采用晶振脉冲发生电路。石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整,它是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间脉冲。 所以秒脉冲晶体振荡选用32768Hz的晶振,该元件专为数字钟电路而设计,其频率较低,有利于减少分频器级数。从有关手册中,可查得C1、C2均为20pF。当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施。由于CMOS电路的输入阻抗极高,因此反馈电阻R1可选为20M Q o (2)分频器电路:分频器电路将32768Hz的高频方波信号经32768 ( 152)次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器。该电路可通过CD4060与双D触发器74LS74共同实现。 (3)时间计数器电路:时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60 进制计数器,而根据设计要求,时个位和时十位计数器 为24进制计数器。计数器可以使用十进制的74LS160 (4)译码驱动电路:译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。译码器可以使用 CD4511。 (5)校时电路:可以通过基本的门器件、电阻与开关实现。由设计的电路图可选择与非门74LS00。 (6)整点报时电路:一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,

多功能数字钟毕业设计

电子技术综合设计 姓名:学号: 专业:电气工程及其自动化 题目:多功能数字钟 专题:电子技术综合设计 设计地点:电工电子实验室 设计日期: 成绩:指导教师: 年月

电子技术综合设计任务书 学生姓名业年级学号 设计日期: 设计专题:电子技术综合设计 设计题目:多功能数字钟 设计内容和要求: 1. 主要内容: ①用CC4518双四位BCD同步计数器设计60秒、60分、24小时归0 的计数电路 ②用CC4511 七段译码驱动/锁存器及LG5011AH共阴数码管设计译码及显示电 路(数码管需加限流电阻) ③用555设计CP脉冲源(f=1KH) ④具有系统校准功能 2. 整体电路原理图 60秒、60分、24小时---- 计数、译码、显示电路 3. EWB仿真图 60秒、60分、24小时---- 计数、译码、显示电路 4. 设计原理图 用PROTEL99设计原理图 5. 设计PCB版图 用PROTEL99设计PCB板图 6. 功能扩展要求 设计:①定点报时功能 指导教师签字:年月日

摘要 数字钟是现代计时器,也可用作时间控制的时钟源。数字钟由于其具有走时准,显示直观,款式新颖,附加功能多等优点而受到人们的欢迎。设计一个具有整点报时,可对时的数字钟。由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。如定时自动报警、按时自动打铃、定时广播、自动起闭路灯、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法,学会应用仿真软件EWB、PROTEL等。用CC4518双四位BCD同步加计数器设计60进制,24进制计数器以实现60秒、60分、24小时归0的计数电路,CC4518为双位计数器,所以每个计数器分为十位和个位两部分,当秒计数器计数到60时向分计数器进位同时秒计数器归零,分计数器向时计数器工作原理同秒计数器,当时计数器计数到24时归0。利用CC4511 七段译码驱动/锁存器及LG5011AH共阴数码管设计译码及显示电路将时间的以显示。 关键词:CC4518、CC4511、数字钟、定点报时 。

数字电子技术基础项目四多功能数字钟的设计与调试

数字电子技术基础项目四多功能数字钟的设计与调试 项目四的多功能数字钟设计与调试是一个涉及数字电子技术的实践项目。以下是一个可能的设计与调试方案: 1.设计: (a)确定功能需求:根据项目要求,确定多功能数字钟的所需功能, 例如显示时间、日期、闹钟等。 (b)确定硬件组件:根据功能需求,选择适当的硬件组件,例如数字 显示器(如LED显示器)、时钟模块、按键开关等。确保所选硬件能够满 足项目需求。 (c)连接电路:根据硬件组件的接口和功能需求,设计电路连接方案,并进行布线和焊接。 (d)设计逻辑控制:使用数字逻辑门、计数器、时钟分频器、控制器 等组件,设计时钟的逻辑控制电路。这个电路负责处理时间显示、日期显示、闹钟设置等功能。 (e)编写代码:根据逻辑控制的需求,选择合适的编程语言(如C、 C++),编写程序代码,实现逻辑控制功能。 (f)测试电路:使用万用表等测试工具,测试设计的电路是否按照预 期工作。 2.调试: (a)功能测试:将钟连接到电源上,通过按键操作验证时钟的各种功 能是否正常工作。例如,设置时间、日期,设置闹钟并触发闹钟等。

(b)逻辑调试:如果发现功能有问题,使用示波器等工具,检查电路中的信号和时序是否正确,找出问题所在,并进行修复。 (c)代码调试:如果发现逻辑控制有问题,检查代码中的逻辑错误,尝试修改代码并编译重新上传到硬件中,直到功能正常为止。 (d)优化调试:如果项目要求确保时钟的精准性或者要求更高的稳定性,可能需要对时钟模块进行额外的调试和校准,以确保时钟的准确性。 以上是一个大致的设计与调试方案,实际的设计与调试过程可能会因具体需求和硬件组件的选择而有所不同。在设计与调试过程中,需要注意电路连接的正确性,逻辑控制的准确性以及代码的正确性,以确保多功能数字钟能够按照预期工作。

多功能数字钟设计实验报告

多功能数字钟设计实验报告 多功能数字钟设计实验报告 一、引言 数字钟是一种常见的时间显示设备,其简洁明了的显示方式受到了广泛的欢迎。然而,随着科技的不断发展,人们对于数字钟的功能要求也越来越高。本实验 旨在设计一款多功能数字钟,以满足人们对于时间显示设备的更多需求。 二、设计原理 1. 时间显示:数字钟应能准确地显示当前的时间,包括小时、分钟和秒钟。为 了实现精确的时间显示,我们采用了基于晶体振荡器的时钟电路,并结合数码 管显示技术,使得时间能够以数字形式直观地呈现。 2. 日期显示:除了时间显示外,数字钟还应具备日期显示的功能。我们通过添 加一个实时时钟模块,可以获取当前的日期信息,并通过数码管显示出来。 3. 闹钟功能:为了提醒用户重要的时间节点,我们在数字钟中加入了闹钟功能。用户可以设置闹钟的时间,并在到达设定时间时,数字钟会发出声音或震动来 提醒用户。 4. 温湿度显示:为了更好地满足用户的需求,我们还在数字钟中添加了温湿度 显示功能。通过接入温湿度传感器,数字钟可以实时监测当前的温度和湿度, 并将其显示在数码管上。 5. 其他功能:除了以上功能外,我们还可以根据用户需求进行扩展,如倒计时 功能、闪烁效果等。 三、实验步骤 1. 硬件设计:根据设计原理,我们需要选择合适的元器件进行电路的搭建,包

括晶体振荡器、数码管、实时时钟模块、温湿度传感器等。 2. 电路连接:根据电路原理图,将各个元器件按照正确的连接方式进行连接, 确保电路的正常工作。 3. 程序编写:通过编写合适的程序代码,实现数字钟的各项功能。包括时间显示、日期显示、闹钟功能、温湿度显示等。 4. 调试测试:在完成硬件连接和程序编写后,我们需要对数字钟进行调试测试,确保各项功能的正常运行。可以通过模拟不同的时间、设置不同的闹钟时间等 来测试数字钟的稳定性和准确性。 5. 优化改进:根据实际测试结果,我们可以对数字钟进行优化改进,提高其性 能和稳定性。例如,优化显示效果、增加功能扩展等。 四、实验结果与讨论 通过以上的实验步骤,我们成功设计并实现了一款多功能数字钟。该数字钟具 备准确的时间显示、日期显示、闹钟功能和温湿度显示等功能,并且在测试中 表现出良好的稳定性和准确性。 然而,我们也发现了一些问题。例如,在温湿度显示方面,由于传感器的精度 限制,所得到的数值可能存在一定的误差。此外,闹钟功能的提醒方式也可以 根据用户的喜好进行改进,如增加更多的提醒方式选择。 五、结论 本实验成功设计并实现了一款多功能数字钟,满足了人们对于时间显示设备的 更多需求。通过硬件设计和程序编写,我们实现了准确的时间显示、日期显示、闹钟功能和温湿度显示等功能。然而,在实际使用中仍需注意一些问题的改进 和优化,以提高数字钟的性能和用户体验。

数电课程设计--电子钟

数字电子技术课程设计报告 1.设计题目:多功能数字时钟的电路设计 2。设计目的: 数字时钟是采用数字电路实现“时”、“分”、“秒”数字显示的计时装置。原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路等。数字钟钟表的数字化在提高报时精度的同时,也大大扩展了它的功能,诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯等。并且与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时序电路。通过它可以在实践中进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法. 3.设计任务与要求 (1)设计指标 时钟显示功能,能够以十进制显示“时”、“分"、“秒”。具有校准时、分的功能.整点自动报时,在整点时,便自动发出鸣叫声,时长1s。 选做: 闹钟功能,可按设定的时间闹时。 日历显示功能。将时间的显示增加“年”、“月"、“日”. (2)设计要求 画出电路原理图(或仿真电路图); 元器件及参数选择; (3)制作要求: 自行装配和调试,并能发现问题和解决问题 (4)编写设计报告 写出设计与制作的全过程,附上有关资料和图纸,有心得体会。

4。数字钟的基本原理及电路设计 4.1数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。数字钟的整机逻辑框图如下: 图1数字钟整机逻辑图 4.2单元电路原理与设计 1) 振荡电路 石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整,它是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间脉冲.用反相器与石英晶体构成的振荡电路如图3所示。利用两个非门G1和G2自我反馈,使它们工作在线形状态,然后利用石英晶体Z1来控制振荡频率。振荡器振荡频率的精度与稳定度基本上决定数字钟的准确度,晶振频率越高,计时准确度越高。此次使用的石英晶振频率是32768 Hz时,则振荡器输出频率为32768 Hz。 图3 石英晶体振荡电路

相关主题
文本预览
相关文档 最新文档