当前位置:文档之家› 6位数显频率计数器

6位数显频率计数器

6位数显频率计数器
6位数显频率计数器

1 绪论

1.1 数字频率计数器的背景及意义

在现代社会中,随着电子工业的发展,能够精确测量各种设备仪器中电路的频率、电压、电流等参数已越来越重要。而传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速的跟踪捕捉到被测信号频率的变化。正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非常广泛的应用范围。

在生产制造企业中,频率计被广泛的应用在生产线的生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。

我所设计的六位数显频率计数器,是基于ATMEL公司的AT89S51单片机,通过其T0与T1的定时与计数功能,来测量输入信号的频率,并通过六位动态数码管显示出来,并且尽可能使用最少的元器件,在满足性能要求的前提下,尽量节省成本,以期最大的性价比。

1.2设计目标与要求

(1) 基于AT89S51单片机,设计一个精确测量输入信号频率的频率计数器

(2)能够精确测量频率范围在0Hz—250kHz之间的输入信号

(3) 测量误差不超过±1Hz

(4) 使用六位数码管显示测量结果

(5) 在满足性能的前提下,尽可能使用最少的、最廉价的元器件

2设计原理及总体方案

2.1频率计的基本原理

频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,此时我们称闸门时间为1秒。闸门时间也可以大于或小于一秒。闸门时间越长,得到的频率值就越准确,但闸

门时间越长则每测一次频率的间隔就越长。闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。测量一个信号的频率有两种方法:第一种是计时法,用基准信号去测量被测信号的高电平持续的时间,然后转换成被测信号的频率。第二种是计数法,计算在基准信号高电平期间通过的被测信号个数。根据设计要求测量

0HZ~250KHZ的正弦信号,首先要将正弦信号通过过零比较转换成方波信号,然后变成测量方波信号。如果用第一种方法,当信号频率超过1KHZ的时候测量精度将超出测量精度要求,所以当被测信号的频率高于1KHZ的时候需要将被测信号进行分频处理。如果被测信号频率很高需要将被测信号进行多次分频直到达到设计的精度要求。

数字频率计是一种应用很广泛的仪器电子,在广泛的应用领域内,到处可见到处理离散信息的数字电路。随着数字电路制造工业的进步,使得系统设计人员能在更小的空间内实现更多的功能,从而提高系统可靠性和速度。本论文设计采用AT89C51单片机为控制器件来制作一个0HZ~250KHZ的频率计,并将所需得到的频率通过数码管显示出来。根据设计要求用单片机的内部T0产生基准信号,由INTO输入被测信号,通过定时方式计算被测信号的持续时间。通过单片机计算得出结果,最后通过数码管显示测量结果。系统的原理框图如图2-1所示。

图2-1 数字频率计系统框图

2.2 方案的确定

系统采用MCS—51系列单片机AT89S51作为控制核心,门控信号由AT89S51内部的计数定时器产生,单位为1μs。由于单片机的计数频率上限较低(12MHZ晶振时约500KHZ),所以需对高频被测信号进行硬件分频处理,AT89S51则完成运算、控制及显示功能。由于使用了单片机,使整个系统具有极为灵活的可编程性,能方便地对系统进行功能扩展与改进。原理图如图2-2。

图2-2 单片机测频原理图

在本设计方案中,我通过程序设定T0工作在计数状态下,T1工作在计时状态下。T0计数器对输入的信号经行计数,其最大计数值为fOSC/24,当fOSC=12MHz时,T0的最大计数频率为500kHz。由于信号的频率就是每秒钟信号脉冲的个数,于是我让T1工作在定时状态下,定时时间为1秒。每定时1秒钟到,就停止T0的计数,然后从T0的计数单元中读取计数的数值,即完成了信号频率的测量。最后通过六位数码管显示出频率值。

由于要尽可能的使用最少的元件,在满足设计要求的前提下,我尽可能的减少了元器件的使用。将被测信号不加任何处理,直接输入单片机的T0口。而将被测信号经行放大整形、倍频锁相等处理就不再进行了。这样做会使该频率计在测量信号频率时产生精度误差,但能够满足设计要求。

电路原理图见附录Ⅰ

3硬件设计

3.1 主控单元

主控单元主要是AT89C51单片机系统,采用12MHZ的晶振频率。单片机的P3.2口接被处理后的被测信号,P0口接LED显示器的数据输入端,ALE,RD,WR,P0.0,P0.1通过外接控制电路接数码管显示器的控制端。单片机系统的电路如图3-1所示。

图3-1 单片机系统

AT89S51是一个低功耗,高性能CMOS 8位单片机,片内含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S51可为许多嵌入式控制应用系统提供高性价比的解决方案。AT89S51具有如下特点:40个引脚,4k Bytes Flash片内程序存储器,128 bytes的随机存取数据存储器(RAM),32个外部双向输入/输出(I/O)口,5个中断优先级2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT)电路,片内时钟振荡器。此外,AT89S51设计和配置了振荡频率可为0Hz并可通过软件设置省电模式。空闲模式下,CPU暂停工作,而RAM定时计数器,串行口,外中断系统可继续工作,

掉电模式冻结振荡器而保存RAM的数据,停止芯片其它功能直至外中断激活或硬件复位。同时该芯片还具有PDIP、TQFP和PLCC等三种封装形式,以适应不同产品的需求。

AT89S51单片机内部结构如下图:

图3-2 单片机内部结构图

图3-3 单片机引脚图

管脚说明:

VCC:供电电压。

GND:接地。

P0:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。

P1:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。

P2:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2

口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址

的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。

P3:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。P3.0 RXD(串行输入口)P3.1 TXD(串行输出口)P3.2 /INT0(外部中断0)P3.3 /INT1(外部中断1)P3.4 T0(记时器0外部输入)P3.5 T1(记时器1外部输入)P3.6 /WR(外部数据存储器写选通)P3.7 /RD(外部数据存储器读选通)P3口同时为闪烁编程和编程校验接收一些控制信号。I/O口作为输入口时有两种工作方式即所谓的读端口与读引脚读端口时实际上并不从外部读入数据而是把端口锁存器的内容读入到内部总线经过某种运算或变换后再写回到端口锁存器只有读端口时才真正地把外部的数据读入到内部总线上面图中的两个三角形表示的就是输入缓冲器CPU将根据不同的指令分别发出读端口或读引脚信号以完成不同的操作这是由硬件自动完成的不需要我们操心1然后再实行读引脚操作否则就可能读入出错为什么看上面的图如果不对端口置1端口锁存器原来的状态有可能为0Q端为0Q^为1加到场效应管栅极的信号为1该场效应管就导通对地呈现低阻抗,此时即使引脚上输入的信号为1也会因端口的低阻抗而使信号变低使得外加的1信号读入后不一定是1若先执行置1操作则可以使场效应管截止引脚信号直接加到三态缓冲器中实现正确的读入由于在输入操作时还必须附加一个准备动作所以这类I/O口被称为准双向口89C51的P0/P1/P2/P3口作为输入时都是准双向口接下来让我们再看另一个问题从图中可以看出这四个端口还有一个差别除了P1口外P0P2P3口都还有其他的功能RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时,ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。

/PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。

/EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;当/EA 端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。

XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。

XTAL2:来自反向振荡器的

3.2频率采集

频率采集单元主要依靠单片机的定时器/计数器,定时器/计数器实质上就是一个加1计数器,其控制电路受软件控制、切换.当定时器/计数器为定时工作方式时,计数器的加1信号由振荡器的12分频信号产生,即每过一个机器周期,计数器加1,直至计满溢出为止。显然,定时器的定时时间与系统的振荡频率有关。因一个机器周期等于12个振荡周期,所以计数频率fcount=1/12osc。如果晶振为12MHz,则计数周期为:

T=1/(12×106)Hz×1/12=1μs (3-1) 这是最短的定时周期。若要延长定时时间,则需要改变定时器的初值,并要适当选择定时器的长度(如8位、13位、16位等)。

当定时器/计数器为计数工作方式时,通过引脚T0和T1对外部信号计数,外部脉冲的下降沿将触发计数。计数器在每个机器周期的S5P2期间采样引脚输入电平。若一个机器周期采样值为1,下一个机器周期采样值为0,则计数器加1。此后的机器周期S3P1期间,新的计数值装入计数器。所以检测一个由1至0的跳变需要两个机器周期,故外部的最高计数频率为振荡频率的1/24。例如,如果选用12MHz晶振,则最高计数频率为0.5MHz。虽然对外部输入信号的占空比无特殊要求,但为了确保某给定电平在变化前至少被采样一次,外部计数脉冲的高电平与低电平保持时间均需在一个机器周期以上。

3.3显示单元

本次设计中采用了LED显示器,即数码管。数码管的每一个数码段是一只发光二极管。当发光二极管导通时,相应的一个点或者一个笔画发光,控制发光二极管发光组合,可以显示出所需字符。我采用了共阴极结构。在定义其显示字形的码段时,通过I/O口送出七段码

表3-1 段码表

LED显示模块原理图

图3-4 LED显示原理图

3.4 下载连接

下载链接部分采用 MAX232串口通信模块,由于串口用的是TTL电平,和RS232电平不同,因此,单片机和PC通信时需要进行电平转换,常用的IC是MAX232,连接图如图所示,其中MAX232供电脚为+5V,中间连接的电解电容取50V 1UF。

图3-5 MAX232内部框图

图3-6 单片机和PC通信连接图

4软件设计

根据硬件和毕业设计所要求的功能,在KEIL51开发环境下编译程序,首先要定义相关参量,然后初始化中断,打开外中断,T0是工作在计数状态下,对输入的频率信号进行计数,但对工作在计数状态下的T0,最大计数值为f OSC/24,由于f OSC=12MHz,因此:T0的最大计数频率为250KHz。对于频率的概念就是在一秒只数脉冲的个数,即为频率值。所以T1工作在定时状态下,每定时1秒中到,就停止T0的计数,而从T0的计数单元中读取计数的数值,然后进行数据处理。送到数码管显示出来。T1工作在定时状态下,最大定时时间为65ms,达不到1秒的定时,所以采用定时50ms,共定时20次,即可完成1秒的定时功能。编译实现相关功能程序,实现毕业设计所要求的功能,程序流程图如下图所示:

图4-1 程序流程图

所编译程序见附录II。

5 硬件的调试与结论

5.1硬件调试

(1)检查电路板

首先检查是否有虚焊,集成块管脚位置是否正确,各元器件是否都接对,电源引线位置是否恰当等,确认无误后进入下一步。

(2)检查电源

在不插AT89S51和MAX232芯片的情况下,给电路板加上+5V的电源,看电源指示LED是否能够正常点亮,LED亮说明电路正常,如果LED没有亮起则返回上一步,如正确则进入下一步。

(3)检查芯片管脚电压

在不插芯片的情况下,用数字万用表调到电压档检查AT89S51芯片管脚座20、40间是否有+5V的电压,然后再检查MAX232芯片管脚座15、16间是否也有+5V 的电压,要是检查都满足+5V的电压,断开电源将两芯片插入管脚座中,继续下一步检查工作。

(4)检查下载线接口

由于要将程序写入单片机芯片中,故板子上留出了和下载线连接的接口JP1。单片机芯片插入电路后,用已经做好的下载线将PC与电路板JP1接口相连接,在电脑上运行下载程序软件,如图5-1

图5-1 写单片机软件界面

在软件界面上点检测器件,要是软件右边状态框内显示能找到器件,就说明下载线接口JP1连线正确,并可以将在电脑上调试好的C程序写入单片机中,要是软件显示没有检测到芯片那就要检查JP1接口的连线是否正确。

(5)检查单片机与LED数码管连接

给单片机写入一个检测程序,让8位LED数码管都显示同一个数字,看数码管是否能够正常的显示这个数字。测试结果数码管都显示同一数字说明数码管与单片机连接正常。

(6)检查单片机复位开关

在测试数码管时,按下单片机复位按钮SW6,8位LED数码管出现短暂的无显示状态后又能重新显示同一数字说明单片机复位按钮有效。

经过以上各部的检查,如果每一步都能满足要求,说明电路硬件部分已经正常,可以进行硬件的测试工作。

5.2软件调试

按照程序流程图4-1把编写好的程序用KEIL-51单片机编译系统编译,编译成功后,再把程序转换为

在程序编写过程中首先要考虑数码管是共阴还是共阳的,不同的数码管的结构不一样,所采用的编码也不一样,本电路采用的是共阴的数码管,所以其编码如下:0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x00,0x40

0 1 2 3 4 5 6 7 8 9 熄灭

所用C语言程序为:unsigned char code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66,

0x6d,0x7d,0x07,0x7f,0x6f,0x00,0x40};

接下来要考虑哪个口做定时器哪个口做计数器接口,该电路的设计思路是用T1做定时器接口,而T0做计数器接口,并且还要考虑定时器/计数器的工作模式,根据设计思路来设计程序,故编写出料如下的C语言程序:

TMOD为8位寄存器,用于控制T0和T1的工作方式和工作模式。低4位用于T0,高4位用于T1。

我们要将T0口作为定时器那么低四位中的C/位的编码应该为1,而将T1口作为计

数器接口那么高四位中的C/位的编码应该为0,并且要使T0和T1都工作在模式1方式,那么其编码都应该为01,所以就有编码为00010101,转化为十六进制编码就是0x15。

所以有TMOD=0x15

TH0=0; //初始化计时器1的高位

TL0=0; //初始化计时器1的低位

TH1=(65536-4000)/256;//初始化计时器1的高位

TL1=(65536-4000)%256;//初始化计时器1的低位

TR1=1; //开计时器1

TR0=1; //开计时器0

ET0=1; //开外部计时中断0

ET1=1; //开外部计时中断1

EA=1; //开总中断

定义完了定时器和计数器以及中断的内容之后进入主程序的编写,编写完所有程序之后进行编译并将生成的可执行文件后写入AT89S51单片机。

此时单片机上显示6位全零,加入信号源时数码管能显示输入信号的频率说明程序基本上没有问题,可以进行进一步的测试工作了。

5.3硬件测试与结论

硬件测试时需要用到的器件有:数字万用表、稳压电源以及函数信号发生器EE1643 。

将稳压电源调至+5V,后用数字万用表进行测量,看输出的直流电压是否已经满足+5V的要求,然后将函数信号发生器打开,给电路加上一个+5V的方波信号,频率从0Hz开始不断增大直至200KHz信号,看电路的LED数码管显示是否与函数信号发生器给出的频率一致,如果能达到基本一致,再进行下一步的测试工作。

将函数信号发生器的输出频率调至1KHz保持不变,这个时候调动发生器的信号电压,使其不断增大至+5V时停止调动,当信号电压增大至+1.5V的时候,数码管上开始显示信号源频率。

将将函数信号发生器的输出电压保持在+5V保持不变,此时调动信号发生器的输

出频率,观察LED数码管上显示的频率和信号发生器上显示的频率进行比对,当测试频率在5KHz位置时LED数码管上测试的频率误差开始增大。

完成以上测试后与原理相符合,硬件没有问题,计数结果准确无误,完全达到设计要求。本毕业设计为基于AT89S51单片机的频率计的设计装置,以AT89S51为核心,包括单片机系统模块,LED显示模块、已经串口通信模块,实现对输入信号的频率的测试功能。其中单片机系统模块做为核心处理中断信号,并输出信号,显示在LED显示模块上。经过不断的摸索和严格调试,本设计实现了所要求的功能,完全符合要求。

经过这次毕业设计使我受益匪浅,旧知识得到加强,单片机的应用,LED显示管等,增加了新知识,开阔了视野。从中我学到了更多关于电子制作的知识,从原理图的设计到电路板的焊接,到调试出结果,整个过程虽然遇到了许多困难,但经过本人的不懈努力和指导老师的殷勤指导,以及同学的热心帮助下,不断查找资料,更换器件,力求更简单更实用,以至最终实现了本次课程设计。并且通过这次课设的实践,培养了自己对本专业学习的更为浓厚的兴趣与爱好,提高了自己的动手能力,以及与同学团结协作的能力。

更重要的是自身的自学能力和动手能力得到很大的提高,做毕业设计的过程是在不断的学习和探索的过程,当出现困难的时候能够沉着、冷静、正确的面对和处理困难。本毕业设计分为4大模块,要做出来还是有一定困难的,这更锻炼了自己运筹帷幄的能力。我相信有了这次毕业设计的整个经历,为将来进行更高新知识与学习带来了很大的益处,也为走上社会奠定了坚实的基础。

致谢

首先,感谢我的指导老师尤连荣老师。在整个毕业设计期间,他都给予我很大的帮助。我的每一点成绩都凝聚着尤老师的心血,他不但授予我理论知识而且教会了我解决问题的能力。还要感谢葛明涛老师,葛老师在百忙之中抽出时间来为我耐心的辅导,无论是学习上还是生活上,葛老师深厚的学术功底,严谨的治学作风,精益求精的工作态度,时时影响着我,感染着我,激励着我,言传身教,为我将来的工作奠定了坚实的基础,使我终身受益。

这次课程设计,我得到了各方面的帮助和支持,没有大家的指导和帮助我是无法短时间内圆满完成任务的。感谢我的朋友,同学,与他们一起工作生活,带给我很多的快乐,与他们一起讨论,使我学到了很多知识。感谢和我一起学习,生活的所有同学,他们的关心和帮助使我感受到集体的温暖。

我深知,电子技术发展迅猛,知识点也多,尽管在做毕业过程中作了很大努力,但由于水平和视野的限制,毕业设计设中一定有不足之处,希望大家给予批评指正。

再次对给予我帮助的老师和同学表示衷心的感谢。

参考文献

[1]孙安青.AT89S51单片机实验及实践教程. 北京:电子工业出版社,2004

[2]张辉,陈粤初.单片机开发与典型应用设计. 合肥:中国科学技术大学出版社,1997.

[3]江国强.现代数字逻辑电路[M].北京:电子工业出版社,2002.

[4] 何立民.单片机应用选编465 [M].北京:北京航空航天出版社,2000年.

[5] 阮忠,林金宝,陈强.综合电子电路应用指南[M].北京:机械工业出版社,2004年.

[6]江国强.现代数字逻辑电路[M].北京:电子工业出版社,2002.

[7]谢自美.电子线路设计.实验.测试(第二版). 武汉:华中科技大学出版社,2000.

[8] 曹巧媛.单片机原理及应用[M].北京:电子工业出版社,1997年.

[9] Donald A. Neamen. Electronic circuit analysis and design[M]. Tsinghua University Press and Springer Verlag.2002.

[10] Richard c.Dorf.modern conctrol systerm[M]. BEIJING:Science Publishing House,2002

附录附录I 毕业设计原理图

附录II 设计源程序

#include

unsigned char code dispbit[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f};//共阴数码管选通数组unsigned char code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66,

0x6d,0x7d,0x07,0x7f,0x6f,0x00,0x40};// 数码管显示的数字数组

unsigned char dispbuf[8]={0,0,0,0,0,0,10,10};//数码管显示内容数组

unsigned char temp[8];//数码管显示内容缓冲数组

unsigned char dispcount;//显示计数

unsigned char T0count; //计时器0的计数

unsigned char timecount;

bit flag; //频率计开始工作标志位

unsigned long x; //八个数码管显示的数

void main(void)

{

unsigned char i;

TMOD=0x15;//设置两个计时器模式

TH0=0; //初始化计时器1的高位

TL0=0; //初始化计时器1的低位

TH1=(65536-4000)/256;//初始化计时器1的高位

TL1=(65536-4000)%256;//初始化计时器1的低位

TR1=1; //开计时器1

TR0=1; //开计时器0

ET0=1; //开外部计时中断0

ET1=1; //开外部计时中断1

EA=1; //开总中断

while(1)

{

if(flag==1)

{

flag=0;

x=T0count*65536+TH0*256+TL0;

for(i=0;i<8;i++) //

{

temp[i]=0; //清缓冲数组内容

}

i=0;

while(x/10)

{

temp[i]=x%10; //把个位数给缓冲区

x=x/10;

i++;

}

temp[i]=x; // 把十位数给缓冲

for(i=0;i<6;i++)

{

dispbuf[i]=temp[i];//把高六位的数给显示缓冲区

}

timecount=0;//清0

T0count=0;

TH0=0;

TL0=0;

简易数字频率计

4.2.3简易数字频率计电路设计 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 一、设计目的 1. 了解数字频率计测量频率与测量周期的基本原理; 2. 熟练掌握数字频率计的设计与调试方法及减小测量误差的方法。 二、设计任务与要求 要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为: 1.测量范围:1HZ—9.999KHZ,闸门时间1s; 10 HZ—99.99KHZ,闸门时间0.1s; 100 HZ—999.9KHZ,闸门时间10ms; 1 KHZ—9999KHZ,闸门时间1ms; 2.显示方式:四位十进制数 3. 当被测信号的频率超出测量范围时,报警. 三、数字频率计基本原理及电路设计 所谓频率,就是周期性信号在单位时间 (1s) 内变化的次数.若在一定时间间隔T内测得这个周期性信号的重复变化次数为N,则其频率可表示为 fx=N/T 。因此,可以将信号放大整形后由计数器累计单位时间内的信号个数,然后经译码、显示输出测量结果,这是所谓的测频法。可见数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成,总体结构如图4-2-6:

图4-2-6数字频率计原理图 从原理图可知,被测信号Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号Ⅱ,具有固定宽度T的方波时基信号II作为闸门的一个输入端,控制闸门的开放时间,被测信号I从闸门另一端输入,被测信号频率为fx,闸门宽度T,若在闸门时间内计数器计得的脉冲个数为N,则被测信号频率fx=N/THz。可见,闸门时间T决定量程,通过闸门时基选择开关选择,选择T大一些,测量准确度就高一些,T小一些,则测量准确度就低.根据被测频率选择闸门时间来控制量程.在整个电路中,时基电路是关键,闸门信号脉冲宽度是否精确直接决定了测量结果是否精确.逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生清“0”脉冲Ⅴ,使计数器每次测量从零开始计数。 1.放大整形电路 放大整形电路可以采用晶体管 3DGl00和74LS00,其中3DGl00组成放大器将输入频率为fx的周期信号如正弦波、三角波等进行放大。与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲。 2.时基电路 时基电路的作用是产生标准的时间信号,可以由555组成的振荡器产生,若时间精度要求较高时,可采用晶体振荡器。由555定时器构成的时基电路包括脉冲产生电路和分频电路两部分。 (1)555多谐振荡电路产生时基脉冲 采用555产生1000HZ振荡脉冲的参考电路如图4-2-7所示。电阻参数可以由振荡频率计算公式f=1.43/((R1+2R2)*C)求得。 (2)分频电路 由于本设计中需要1s、0.1s、10ms、1ms四个闸门时间,555振荡器产生1000HZ,周期为1ms的脉冲信号,需经分频才能得到其他三个周期的闸门信号,可采用74LS90分别经过一级、二级、三级10分频得到。 图4-2-7 555多谐振荡电路 3. 逻辑控制电路 在时基信号II结束时产生的负跳变用来产生锁存信号Ⅳ,锁存信号Ⅳ的负跳变又用来产生清“0”信号V。脉冲信号Ⅳ和V可由两个单稳态触发器74LSl23产生,它们的脉冲宽度由电路的时间常数决定。触发脉冲从B端输入时,在触发脉冲的负跳变作用下,输出端Q可获得一正脉冲, Q非端可获得一负脉冲,其波形关系正好满足Ⅳ和V的要求。手动复位开关S按下时,计数器清“ 0 ”。参考电路如图4-2-8 图4-2-8数字频率计逻辑控制电路 4.锁存器 锁存器的作用是将计数器在闸门时间结束时所计得的数进行锁存,使显示器上能稳定地显示此时计数器的值.闸门时间结束时,逻辑控制电路发出锁存信号Ⅳ,将此时计数器的值送译码显示器。选用8D锁存器74LS273可以完成上述功能.当时钟脉冲CP的正跳变来到时,锁存器的输出等于输入,即Q=D。从而将计数器

单片机课程设计6位数字显示计时(DOC)

单片机 课程设计报告书 课题: 6 位数显频率计数器院(系):机电工程学院 专业:机械设计制造及其自动化 姓名: 学号: 2014年1月14日

目录 一、前言---------------------------------------------------- 1.1、课程设计任务------------------------------------------------ 1.2、课程设计任务完成要求------------------------ 1.3、设计目的----------------------------------------------------- 二、方案提出与论证------------------------------------- 2.1频率测量原理与方法----------------------------------- 三、系统硬件设计---------------------------------------- 3.1电路原理图总图------------------------------------------------- 3.1.1电源供电电路-------------------------------------------------- 3.1.2 单片机时钟电路---------------------------------------------- 3.1.3单片机复位电路----------------------------------------------- 3.1.4显示及驱动电路----------------------------------------------- 3 .2 AT89C51单片机芯片的功能及其参数------------------ 四、系统软件设计---------------------------------------- 4.1软件流程图------------------------------------------------------- 4.2程序调试及误差分析------------------------------------------- 五、制作PCB板及焊接元件调试-------------------- 六、元器件明细表---------------------------------------- 七、实验总结------------------------------- 八、参考文献----------------------------------------------

课程设计——6位数字频率计

数字电子技术课程设计报告题目:6位数字频率计 学年:2009-2010 学期: 2 专业电子信息工程班级: 学号:姓名: 指导教师: 时间:2010 年 3 月8日~2010 年 3 月11 日 浙江万里学院电子信息学院

浙江万里学院电子信息学院课程设计报告 目录 一、设计任务书 二、设计框图及整体概述 三、各单元电路的设计方案及原理说明 四、结果分析 五、体会和总结 附录一、电路设计总图 附录二、50MHz变成2Hz的模块VHDL语言源程序 附录三、FPGA实验开发板EP2C5T144C8芯片管脚锁定表 第页

一、设计任务书 设计一个6位数字频率计,测量范围为000000~999999; 应用QuartusII_7.2以自底向上层次化设计的方式设计电路原理图; 应用FPGA实验开发板下载设计文件,实现电路的功能。 二、设计框图及整体概述 1.设计框图 2、主要芯片及作用 T触发器:将2HZ的频率翻转成1HZ。 74192:1个74HC192能实现0~9的计数功能,6个74HC192可以连成0~999999的计数。74374:是8位的锁存器,可以选用3个来设计24位的锁存器。74374将计数器输出的测量数据暂时储存起来,并提供给数码管显示。 7448:是BCD—7段译码器,用来显示测量结果。

3、设计原理说明 数字频率计是专门用于测量交流信号周期变化速度的一种仪器,频率的定义是每秒时间内交流信号(电压或电流)发生周期性变化的次数。 因此频率计的任务就是要在1秒钟时间内数出交流信号从低电平到高电平变化的次数,并将测得的数据通过数码管显示出来。 50MHz 时钟信号通过模块VHDL 语言源程序变成2Hz 的时钟信号,通过T 触发器将2HZ 翻转成1HZ ,1HZ 经过分频产生3个电平信号,1秒脉宽的高电平提供给计数器工作;1秒脉宽的高电平提供给锁存器工作;0.5秒脉宽的高电平用于计数器清零。有了这三个电平信号,就可以用6片74192工作来计数000000~999999,74374用来锁存计数器输出的测量数据,再用7448译码器来显示出来。 三、各单元电路的设计方案及原理说明 1. 时钟分频模块 VCC clk_50m INPUT clk_1hz OUTPUT clk1clk fenpin inst PRN CLRN T Q TFF inst2 VCC 时钟分频原理图 原理:50MHz 时钟信号通过模块VHDL 语言源程序变成2Hz 的时钟信号。将T 触发器的T 端接高电平,T 触发器则转化为T ’触发器,2HZ 的脉冲通过它变为1HZ 。

流量频率分析计算

附件1 流量频率分析计算 根据业主提供的1966年至2010年共计45年的流量统计,其中1980年、1988年、2002年、2003年这4年10月中旬没有流量记录,进行频率分析计算如下。 各设计频率下的洪水流量采用矩法进行计算: 1. 计算经验频率: 计算公式为 %1 += n m P 式中 P-----经验频率; m-----洪水资料从大到小排列序号; n-----洪水资料全部项数,此处取n=41。 列表计算10月中旬平均流量经验频率:见表一 2.统计参数的初步计算: 平均流量 83 .22141 05.9095== = ∑n Q Q 变差系数C v 偏态系数C s 以上三式中 Q i -----系列变量,共计41个; Q -----系列变量均值; n -----系列项数;n=41; C v -----变差系数; C s -----偏态系数。 76 .1082.383.221)141(83.2214135.8084508)1(2222 2==-?-=--=∑Q n Q n Q C V 64.4)3()(3 33 =--=∑V i S C Q n Q Q C

表一:10月中旬平均流量频率决算表 年份10月中旬平 均流量 3 序号 按流量排序 Qi(m3/s) Qi2 经验频率 Pm(%) 1966 99.28 12341.345481873.0 2.4 1967 180.40 2902.19813946.80 4.8 1968 86.94 3896.9804429.61 7.1 1969 49.82 4413.43170924.36 9.5 1970 131.61 5343.64118088.45 11.9 1971 75.64 6319.3101952.49 14.3 1972 37.32 730693636.00 16.7 1973 176.67 8230.953314.81 19.0 1974 107.16 9213.7445684.79 21.4 1975 191.44 10193.2737353.29 23.8 1976 43.22 11191.44 36649.45 26.2 1977 47.34 12180.932724.81 28.6 1978 119.29 13180.40 32543.44 31.0 1979 58.48 14176.67 31213.63 33.3 1981 153.63 15165.2327300.95 35.7 1982 144.32 16153.7423635.99 38.1 1983 60.07 17153.63 23603.33 40.5 1984 17.49 18144.32 20826.97 42.9 1985 96.30 19131.61 17320.64 45.2 1986 97.07 20119.29 14230.10 47.6 1987 92.99 21109.3211950.86 50.0 1989 62.41 22107.16 11482.52 52.4 1990 57.35 2399.28 9857.04 54.8 1991 65.322497.07 9423.52 57.1 1992 319.32596.30 9272.85 59.5 1993 3062692.99 8646.85 61.9 1994 165.232786.94 7559.31 64.3 1995 180.92875.64 5720.72 66.7 1996 343.642965.324266.70 69.0 1997 230.93062.41 3894.63 71.4 1998 902.193160.07 3608.70 73.8 1999 2341.343258.48 3419.85 76.2 2000 896.93357.35 3288.83 78.6 2001 213.743449.82 2482.03 81.0 2004 413.433547.34 2240.94 83.3 2005 10.743646.962205.24 85.7 2006 15.93743.22 1867.91 88.1 2007 193.273837.32 1392.99 90.5 2008 153.743917.49 305.78 92.9 2009 46.964015.9252.81 95.2 2010 109.324110.74115.35 97.6 ∑9095.05 9095.05 8084508.3 平均值221.83 221.83

数字频率计数器的设计(要点说明

1.实习目的 电子线路实习时了配合模拟电子技术基础课程的教学而开设的。采用EAD 技术中的multisim软件来对模拟电路进行仿真运行,让学生完成EDA技术方面的初步训练,培养起掌握新技术的能力,以适应当今电子技术的飞速发展。 以计算机仿真为基础的电子设计自动化即EAD技术已成为当代电子电路及集成电路设计中不可缺少的重要手段。multisim是一个优秀的电子技术训练工具,利用它可以更灵活的进行电路实验,并在实验室难以达到的实验条件下进行模拟,从而提高学生设计和分析电路的能力。通过综合性设计能使学生会解决较复杂实际问题的能力,为其后续课程的学习和以后从事实际工作打下坚实的基础。

2.实习内容 2.1 multisim简介 Multisim 11是IIT公司推出Multisim 11之后的Multisim最新版Multisim11提供了全面集成化的设计环境,完成从原理图设计输入、电路仿真分析到电路功能测试等工作。当改变电路连接或改变元件参数,对电路进行仿真时,可以清楚地观察到各种变化对电路性能的影响。 EDA是在计算机辅助设计技术的基础上发展起来的计算机软件系统。与早期的CAD软件相比,EDA软件的自动化程度更高,功能更完善,运行速度更快,而且操作页面友善,有良好的数据开放性和互换性。 电子工作平台Electronice Workbench 软件是加拿大 Interactive Image Technologies 公司于八十年代末,九十年代初推出的电子电路仿真的虚拟电子工作台软件。它具有一些特点: (1).采用直观的图形界面创造电路,在计算机屏幕上模拟真实实验室的工作台,绘制电路图需要的元件,电路仿真需要的测试仪器均可直接从屏幕上获取。(2).软件仪器的控制面板外形和操作方式都与实物相似,可以实事显示测量结果。 (3).EWB软件带有丰富的电路元件库,提供多种电路分析方法。 (4).作为设计工具,它可以同其他电路分析.设计和制板软件交换数据。(5).EWB还是一个优秀的电子技术训练工具,利用它提供的虚拟仪器可以用比实验室中更灵活的方式进行电路实验,仿真电路的实际运行情况。 (6).熟悉常用电子仪器测量方法,因此非常适合电子类课程的教学和实验。这里,我们向大家介绍EWB软件的初步知识,基本操作方法,电路图的绘制,虚拟仪器的使用及基本分析方法。

6位数字频率计

数字频率计

目录 一、设计任务书 二、设计框图及整体概述 三、各单元电路的设计方案及原理说明 四、结果分析 五、体会和总结 附录一、电路设计总图 附录二、50MHz变成2Hz的模块VHDL语言源程序 附录三、FPGA实验开发板EP2C5T144C8芯片管脚锁定表 第页 一、设计任务书

设计一个6位数字频率计,测量范围为000000~999999; 应用QuartusII_7.2以自底向上层次化设计的方式设计电路原理图; 应用FPGA实验开发板下载设计文件,实现电路的功能。 二、设计框图及整体概述 1.设计框图 2、主要芯片及作用 T触发器:将2HZ的频率翻转成1HZ。 74192:1个74HC192能实现0~9的计数功能,6个74HC192可以连成0~999999的计数。74374:是8位的锁存器,可以选用3个来设计24位的锁存器。74374将计数器输出的测量数据暂时储存起来,并提供给数码管显示。 7448:是BCD—7段译码器,用来显示测量结果。

3、设计原理说明 数字频率计是专门用于测量交流信号周期变化速度的一种仪器,频率的定义是每秒时间内交流信号(电压或电流)发生周期性变化的次数。 因此频率计的任务就是要在1秒钟时间内数出交流信号从低电平到高电平变化的次数,并将测得的数据通过数码管显示出来。 50MHz 时钟信号通过模块VHDL 语言源程序变成2Hz 的时钟信号,通过T 触发器将2HZ 翻转成1HZ ,1HZ 经过分频产生3个电平信号,1秒脉宽的高电平提供给计数器工作;1秒脉宽的高电平提供给锁存器工作;0.5秒脉宽的高电平用于计数器清零。有了这三个电平信号,就可以用6片74192工作来计数000000~999999,74374用来锁存计数器输出的测量数据,再用7448译码器来显示出来。 三、各单元电路的设计方案及原理说明 1. 时钟分频模块 VCC clk_50m INPUT clk_1hz OUTPUT clk1clk fenpin inst PRN CLRN T Q TFF inst2 VCC 时钟分频原理图 原理:50MHz 时钟信号通过模块VHDL 语言源程序变成2Hz 的时钟信号。将T 触发器的T 端接高电平,T 触发器则转化为T ’触发器,2HZ 的脉冲通过它变为1HZ 。 2. 时序产生模块

固有频率的计算

2.8.6.1 液压传动的固有频率 2.8.6.1.1 概述 液压传动装置的固有频率,对于闭环系统的动态特性和系统计算的原点,是一个重要的参数。从稳定性观点来看,一个闭环系统,若系统具有较高的固有频率,则会有一些问题。可粗略地划分为如下的3个频率区: ?低频:3~10Hz,重型机械、机械手、手动设备、注射机。 中频:50~80Hz,位置控制的机床。? ?高频:>100Hz,试验机、注射机、压机。 2.8.6.1.2 基本公式 计算弹簧质量系统固有频率的基本公式为: 式中:(1/s) m=质量(kg) C=弹簧刚度() 弹簧刚度“液压刚度”C,主要由受压的油液体积决定,由下式确定, 式中:E=液压油的弹性模量 =1~1.4×109() =1~1.4×104(bar) A2=油缸面积的平方(m4) V=油液体积(m3) 如基本公式已经表明的那样,一个液压传动系统的固有频率,取决于执行器液压马达或液压缸的尺寸,和驱动的质量。 系统中的其他元件,例如调节阀,也有自已的固有频率。因为整个闭环系统的角频率,是由系统中动态特性最低的元件决定的,因而也要注意闭环调节阀的极限频率。此值在50到150Hz的范围。 2.8.6.1.3 双出杆液压缸 让活塞处于缸的中间位置,得到: 式中:AR=油缸环形面积(┫) h=油缸行程(m) 注:对于死容积,应预先给行程h增加20~50%的附加值。 人们都明确地了解到,活塞面积与行程之比,对固有频率有着重要的影响。A:h的系数也可表示为λ=“长径比”。从提高固有频率观点考虑,较大的面积和较短的行程是比较有利的。面积的确定,还要由其他的一些因素,如规格大小、压力、体积流量等一同来考虑。 在作这些考察时,管道的容积未加考虑。很显然,总要尽可能地减小死容积,这就是说,阀与缸之间的管道短些、刚性大些,有利于提高固有频率。 上面计算固有频率,是按活塞处于中间位置的情况得到的一个最小固有频率值,这是实践中处于最不利情况下必须达到的数值。 例1已知:D=50mm,d=32mm,m=50kg≌[ ],h=500mm=0.5m,E=1.4?109 解: 2.8.6.1.4 单出杆缸

频率计数器

一、系统设计 1. 设计的任务与要求 1.1 设计任务: 设计并制作一台闸门时间为1s的数字频率计。 1.2 设计要求 (1)频率和周期的测量: (a)被测信号为正弦波,频率范围为1Hz到10MHz;(b)给测信号的有效值电压范围为50mV到1V;(c)测量相对误差的绝对值不大于104-。 (2)时间间隔测量功能: (a)被测信号为方波,频率范围为100Hz到1MHz;(b)给测信号的峰值电压范围为50mV到1V;(c)被测时间间隔的范围为0.1us到100ms;(d)测量相对误差的绝对值不大于102-。 (3)测量数据刷新时间不大于2s,并能自动显示单位。 发挥要求 (1)频率和周期测量的正选信号频率范围为1Hz到100MHz,其他要求同基本要求(1)和(3)。 (2)频率和周期测量时被测正弦信号的最小有效值电压为10mV,其他要求同基本要求(1)和(3)。 (3)增加脉冲信号占空比的测量功能。

2. 总体方案的论证与比较 基于设计数字频率计可以采用三种方法,第一可以通过数字电路实现,由于题目要求精度要到104-,数显的方式无法实现;第二可以通过FPJA可编程器件进行实现,虽然用FPJA设计,比数字电路和stm32更简易,但编程复杂,运算能力欠缺;第三采用stm32开发板进行实现,不管是其精度和运算能力,还运行速度快,选择stm32来设计。制作一台时间为1s的闸门,利用stm32软件编程设计,被测范围为1Hz到10MHz,考虑到精度的要求,低频利用放大电路进行增幅,再通过软件计算输入显示,高频通过分频电路降低测量误差。时间间隔测量通过通道信号的输入给放大整流电路,通过整形后的波形测出Ta-b,被测范围可通过内部时钟频率设定一个时标频率,到时读出。 2.1 放大模电路块 方案一:为了将待测信号整形成能接受的脉冲信号,满足显示,可通过放大器后接一个单门限电压比较器(LM339),这样虽然能完成整形工作,但电路抗干扰能力差,带来数据误差的影响。 方案二:通过AD8009芯片设计的放大电路,在用AD8055把输入为正弦波的信号输出为方波,频率不发生变化。从芯片功能的满足和误差方面考虑,采用方案二。 2.2 频率测试电路模块 方案一:在放大整形电路后,信号频率还存在显示的误差很大,考虑对频率进行晶体振荡器来解决。对于小信号的频率不进行倍频,虽然倍频可以减小测量误差,但对于低频信号误差很小;采用256分频电路通过74HC74把高频信号分频。 方案二:把频率信号经脉冲形成电路后加到闸门电路的输入端,直接通过测试一段时间后,被计数的脉冲的值由十进制计数器进行计数,设计数值为M,则频率 f =M / T。最终选择方案一。

六位计数器

六位计数器 六位计数器 本文介绍一种使用单片机制作的双向多功能6位计数器,其面板框图如图1所示。该计数器电路原理如图2所示。它极大地方便了需要交替或者同时使用加数功能和减数功能的场合,例如:在某些生产线上,一方面进行合格品的自动累加计数,另一方面又要扣除从检验岗位返回的不合格品的数字,完成这种功能,通常需要使用两只计数器,还要经过计算才可以得到结果;现在只需要使用本文介绍的计数器就能够同时完成加数和减数的工作,而且即时得到结果的数字。现将该计数器介绍如下。 1.计数信号输入 具有两个计数信号输入端口,可以同时或者分别输人加数信号与减数信号。当输人其中一种信号时,另一个信号输入端无需任何设置,就让它悬空即可。 两个输人端口使用了内部带“斯密特”特性的端口,如果两个端口同时输人信号的话,程序会自动判断,判断原理如下:11=无输人;10=减数输人;01=加数输人;00=同时输人。 由于输人端口本身具有“斯密特”性能对计数信号的输人无疑具有较好的抗干扰能力。只要输人基本的0~3.5 V直流脉冲或者电平信号,电路都可以正常响应。 提示:

输人信号可以使用红外线、光敏、机械开关和其它电子开关产生的开关脉冲,严禁脉冲最大电压超过DCSV。 最方便的是,无需使用有源的输人脉冲,只需要把输人端口对地线短接一次,就可以输人一个计数信号,因为本电路已经配置好输人端口为高电平状态。图2中单片机SP和6P的两个端口分别接与+5V 端相连的两只4.7k iZ电阻的另一端。 程序智能处理两个端口来的输人信号: 11和00均为不处理,00虽然是两个端口同时输人,但是因为它们是相反性质,因此,互相抵消,屏幕数字保持不变。 01:需要把显示值增加一个字,> 999999或者=用户设置的超限值,则加数控制输出会出现高电平。 10:需要把显示值减少一个字,到达000000时,减数控制输出会出现高电平。 2.控制输出: 具有两个很灵活应用的控制输出端口,其应用组合功能远远高于普通控制输出端口。 如果屏幕显示为000000,则OUTL输出高电平,这就是减计数的最终控制输出信号;如果屏幕显示为用户设置值,则OUTH输出高电平,这就是加计数的最终输出控制信号。 如果屏幕既不是000000,也不是用户的设置值,就都输出L电平。 3.操作按键

数字计频器课程设计-六位数字计频器设计

数字计频器课程设计 2008.12.29

六位数字计频器设计 一、数字频率计测频率基本原理 数字频率计的基本原理就是测量单位时间内脉冲信号的个数,即, f=N/T 其中,f 是被测信号的频率,N 是计数器累加的脉冲个数,T 是测量时间。 基本原理如下图所示: (1)时基单元 包括振荡器和分频器,用来产生周期为1s 的脉冲信号,称为时基信号。 控制电路

(2)控制电路 其一得到宽度为1s的方波,称为闸门信号,其二在每次取样后封锁主控门和时基信号的输入门 (3)计数单元 把通过主控门的被测信号输入计数器、寄存器、译码器和显示器。(4)延时单元 数据显示一段时间,其时间的长短取决于延时电路,然后对计数器进行清零,重新进行测量。 (5)主控门 起控制被测信号通过的作用,在取样时间内主控门打开,清零和显示时间内主控门关闭。 (6)输入单元 将接受的各种信号放大、整形,变换为脉冲信号。 二、数字频率计主要技术指标 1、频率测量范围 在输入电压符合规定要求值时,能够正常进行测量的频率区间称为频率测量范围。频率测量范围主要由放大整形电路的频率响应决定。本方案的测量范围是1-999999Hz。 2、数字显示位数 频率计的数字显示位数决定了频率计的分辨率。位数越多,分辨率越高。本方案的显示位数为6位 3、测量时间

频率计完成一次测量所需要的时间,包括准备、计数、锁存和复位时间。 三、计频器功能 1、一秒显示一秒清零; 2、显示两秒清零一秒; 3、消隐功能; 4、能够实现开关和清零功能; 四、设计环境 本方案是以max+plus 2.0为设计和仿真平台,GW48-CK实验开发系统为应用平台。 五、方案及原理图 实验方案选择试验指导书提供的例题——二位十进制的频率计频器的类似的设计方案,以下将给出电路设计图并予以说明。 A、频率显示1s,0显示1s Ⅰ、两位计数器原理图及说明

洪水频率计算(规范方法)

A1洪水频率曲线统计参数的估计和确定 A1.1 参数估计法 A1.1.1矩法。对于n 年连序系列,可采用下列公式计算各统计参数 n 系列项数。 对于不连序系列,其统计参数的计算与连序系列的计算公式有所不同。 如果 在迄今的N 年中已查明有a 个特大洪水(其中有I 个发生在n 年实测或插补系列 中),假定(n-l )年系列的均值和均方差与除去特大洪水后的(N-a )年系列的 相等,即X N 』= X n4,S n 』=S n 4,可推导出统计参数的计算公式如下: — 1 a N — a n X 二丄C X j X i ) (A5) N J j n — I 4 附录A 洪水频率计算 均值 均万差 或 变差系数 偏态系数 或 式中 lUi-X)2 n-1 二 X i 2 -n ([X i )2 n7 (X i - X)3 i £ (n —1)( n —2)X 3C ; n n n n n 2 v X ; _3 n^ X i X 2 2(^ X J 3 i # i£ i 住 i 仝 : X i --------- 系列变量(i=1,…,n ); (A1) (A2) (A3) (A4)

式中 X j --------- 特大洪水变量(j=1,…,a ); X i ――实测洪水变量(i=l +1,…,n )o A1.1.2概率权重矩法。概率权重矩定义为 皮尔逊川型频率曲线的三个统计参数不能用概率权重矩的显式表达。但经 推导有: Cs = N_1 一)2 N JX j —X)3 活二X i -对 (A6) (A7) (N -1)( N _2)X Cv 1 . M . = o xF J (x)dF j=0,1,2,… (A8)

简单频率计的制作

一.设计的基本原理和框图 1.1基本原理: 数字频率计是用数字显示被测信号的频率的仪器,被测信号可以是正弦波,方波或者其他周期性变化的信号,它的基本原理是时基信号发生器提供标准的时基脉冲信号,若其周期为1s则门控电路的输出信号持续时间亦准确到1s。闸门电路有标准秒信号控制,当秒信号到来时闸门开通,信号通过闸门送到计数译码显示电路,秒信号结束时闸门关闭,计数器停止计数,由于计数器记得脉冲数N 的是一秒内的累积数,所以被测频率是NHZ。闸门时间可以取大于或者小于1秒的值,测得的频率时间间隔与闸门时间的取值成正比,在这里取的闸门时间为1s。 在此,数字频率计由分频器,片选电路,计数器,锁存器,译码电路和显示电路作为主要组成部分。 1.2设计框图如图1.1所示:

图1.1 二.单元电路设计 2.1分频电路模块 分频器在总电路中有两个作用。由总图框图中分频器有两个输出,一个给计数器,一个给锁存器。时钟信号经过分频电路形成了20分频后的门信号。另一个给锁存器作锁存信号,当信号为低电平时就锁存计数器中的数。 分频电路图如图2.1 图2.1 分频电路图 2.2片选信号电路模块 这个电路有两个用途:一是为后面的片选电路产生片选信号,二是为译码模块提供选择脉冲信号。 电路图如图2.2

图2.2 片选信号电路图 2.3计数器模块 计数器模块为该电路中的核心模块,它的功能是:当门信号为上升沿时,电路开始计算半个周期内被测信号通过的周期数,到下升沿后结束。然后送给锁存器锁存。 计数器电路图如图2.3所示: 图2.3 计数器电路图 2.4锁存器模块 在分频信号的下降沿到来时,锁存器将计数器的信号锁存,然后送给编译模块中。其电路图如图2.4所示:

6位数显频率计数器电路及程序

6位数显频率计数器电路及程序 6位数显频率计数器 1.实验任务 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。 2.电路原理图 3.系统板上硬件连线 (1).把“单片机系统”区域中的P0.0-P0.7与“动态数码显示”区域中的ABCDEFGH端口用8芯排线连接。 (2).把“单片机系统”区域中的P2.0-P2.7与“动态数码显示”区域中的 S1S2S3S4S5S6S7S8端口用8芯排线连接。 (3).把“单片机系统”区域中的P3.4(T0)端子用导线连接到“频率产生器”区域中的WAVE端子上。 4.程序设计内容 (1).定时/计数器T0和T1的工作方式设置,由图可知,T0是工作在计数状态下,对

输入的频率信号进行计数,但对工作在计数状态下的T0,最大计数值为fOSC/24,由于fOSC=12MHz,因此:T0的最大计数频率为250KHz。对于频率的概念就是在一秒只数脉冲的个数,即为频率值。所以T1工作在定时状态下,每定时1秒中到,就停止T0的计数,而从T0的计数单元中读取计数的数值,然后进行数据处理。送到数码管显示出来。(2).T1工作在定时状态下,最大定时时间为65ms,达不到1秒的定时,所以采用定时50ms,共定时20次,即可完成1秒的定时功能。 5.C语言源程序 #include unsigned char code dispbit[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f}; unsigned char code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66, 0x6d,0x7d,0x07,0x7f,0x6f,0x00,0x40}; unsigned char dispbuf[8]={0,0,0,0,0,0,10,10}; unsigned char temp[8]; unsigned char dispcount; unsigned char T0count; unsigned char timecount; bit flag; unsigned long x; void main(void) { unsigned char i; TMOD=0x15; TH0=0; TL0=0; TH1=(65536-4000)/256; TL1=(65536-4000)%6; TR1=1; TR0=1; ET0=1; ET1=1; EA=1; while(1) { if(flag==1) { flag=0; x=T0count*65536+TH0*256+TL0; for(i=0;i<8;i++) { temp[i]=0; } i=0; while(x/10) {

简易数字频率计

宁波工程学院 电子信息工程学院 课程设计报告 课程设计题目:简易数字频率计 起讫时间:2011年05月23日至2011年06月03日

目录第一章技术指标 1.1整体功能要求 1.2电气指标 1.3扩展指标 1.4设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图 2.3 计数原理 第三章单元电路设计 3.1 波形变换电路 3.2 闸门电路设计 3.3小数点显示电路设计 第四章测试与调整 4.1 硬件测试与调整 4.2 软件测试与调整 4.3 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进 5.3心得体会 附录

第一章技术指标 1.1整体功能要求 设计并制作一台数字显示的简易频率计,主要用于测量正弦波、方波等周期 信号的频率值。 1.2 电气指标 1.2.1 信号波形:方波; 1.2.2 信号幅度;TTL电平; 1.2.3 信号频率:100Hz~9999Hz; 1.2.4 测量误差:≤1%; 1.2.5 测量时间:≤1s/次,连续测量; 1.2.6 显示:4位有效数字,可用数码管,LED或LCD显示。 1.3扩展指标 1.3.1 可以测量正弦波信号的频率,电压峰-峰值VPP=0.1~5V; 1.3.2 方波测量时频率测量上限为3MHz,测量误差≤1%; 1.3.3 正弦(Vopp=0.1V~5V)测量时频率测量上限为3MHz,测量误差≤1%; 1.3.4量程自动切换,且自动切换为四位有效数字输出; 1.4设计条件 1.4.1 电源条件:+5V。 1.4.2开发平台:本系统以高速SOC单片机C8051F360和FPGAEP2C8T144为 核心,主要包括9个模块,其主要配置见表1-1。 表1-1数字电子系统设计实验平台模块一览 型号名称主要配置 MCU模块SOC单片机8051F360,CPLD芯片EMP3064TC44 74151 FPGA模块EMP3064TC44,串行配置芯片,JTAG和AS配置 接口 74153 LCD和键盘模块12864中文液晶,16个按键 7404 8位高速A/D模块30MHz8位A/D转换器ADS930,信号调理电路4518 10位高速D/A模块双路100MHz10位D/A转换器THS5651,差分放 大电路,反相器

11频率计数器设计

湖南工程学院应用技术学院课程设计 课程名称 课题名称 专业 班级 学号 姓名 指导教师 2015 年7 月3 日

报告撰写要求(此页不打印) 课程设计报告是体现课程设计成果的载体,具体要求如下: 1、课程设计报告的基本格式 (1)说明书统一使用word文档打印,A4纸张,页边距设置为:上2cm,下2cm,左2.54cm,右2cm。 (2)正文采用宋体小四,字间距20磅;1级标题采用黑体小三,2级标题采用黑体四号,3级标题采用黑体小四;1和2级标题段落间距为上下0.5行。 (3)图表需统一编号,图标标题采用黑体五号;图标题在图片下方,表格标题在表格上方。 (4)装订顺序为:封面、任务书、报告正文、评分表。 2、课程设计报告的撰写要求 (1)设计报告正文内容为10页左右为宜,主要内容为自己的设计思路、设计步骤、关键性步骤的记录、重要结果的记录以及自己本次课程设计的总结。报告撰写要求思路清晰、结构合理、层次清晰,报告简洁但又要能体现设计过程。 (2)报告中图表要求清晰、规范,图表的尺寸大小适当。 (3)课程设计报告内容(仅供参考):

应用技术学院 课程设计任务书 课题名称频率计数器设计 姓名专业班级学号 指导老师寻大勇 课程设计时间2015年6月22日-2015年7月3日 一、任务及要求 设计任务: 本课题以单片机为核心,设计和制作一个频率计数器,来完成对输入的信号进行频率计数,计数的频率结果能够显示出来。要求能够对0-250KHz的信号频率进行准确计数,计数误差不超过±1HZ。 设计要求: (1)确定系统设计方案; (2)进行系统的硬件设计; (3)完成应用程序设计; (4) 应用系统的硬件和软件的调试。 二、进度安排 第一周: 周一:集中布置课程设计任务和相关事宜,查资料确定系统总体方案。 周二~周三:完成硬件设计和电路连接 周四~周日:完成软件设计 第二周: 周一~周三:程序调试 周四~周五:设计报告撰写。周五进行答辩和设计结果检查。 三、参考资料 1、王迎旭等.单片机原理及及应用. 2版.机械工业出版社,2012 2、胡汉才.单片机原理及其接口技术.3版.清华大学出版社,2010. 3、戴灿金.51单片机及其C语言程序设计开发实例.清华大学出版社,2010

数字频率计的说明书

目录页数 1. 产品介绍................................................................................. 1-1.简述……….………………………………………………. 1-2.特性…...…………………………………………………... 1 1 1 2. 技术规格 (2) 3. 使用前之注意事项……………………………….…………... 3-1.拆开包装…………………..………………….…………... 3-2.使用电源…………………...…………………..…………. 3-3.设备安装和操作………………………...………………... 3-4.预备工作………………………………………………….. 3 3 3 4 4 4. 面板介绍 (5) 5. 应用……………………………………………………………. 5-1.灵敏度………..…………………………………………… 5-2.输入灵敏度特性………………...………………………... 5-3.最大输入电压…………..………………………………... 5-4.典型应用………………………………………………….. 7 7 10 11 11 6. 电路描述…………………..……………………………...…... 6-1.工作原理……………………………..………………….... 6-2.频率测量精度 (13) 13 14 7. 维护……………..…………………………………………….. 7-1.标准的校准方法………………….……………………… 7-2.清洁…..…………………………………………………... 18 18 18

简易6位数显频率计报告

简易6位数显频率计 一、任务分析 (1)用51单片机的定时计数器功能设计一个带6位数显的简易频率计。 (2)参数要求: a、输入信号为矩形波,幅度>4.5V,信号频率范围为1-250kHz,误差为±1Hz; b、测得的频率结果用6位数码管显示出来,显示方式自定; c、51单片机晶振频率为12MHz。 二、实现方案 采用12MHz的晶体震荡器的情况下,一秒的定时已经超过了定时器可提供的最大定时值。为了实现一秒的定时,采用定时和计数相结合的方法实现。选用定时计数器TO做定时器,工作于方式1产生50ms的定时器,再用软件计数的方式对他计数20次,就可得到一秒的定时。另外,此处对外部事件计数脉冲的占空比(即脉冲的持续宽度)无特殊要求,但必须保证所给出的高电平在其改变之前至少被采样1次,即至少保持1个完整的机器周期。由此可见,从T1口输入脉冲信号,T1可以实现对脉冲个数的计数。采用中断来实现每一秒钟算一次频率,时时显示频率。采用移位除法把十六位的二进转换成十进制的数。 三、程序流程图 四、硬件电路图

五、程序列表 DATE0 EQU 22H DATE1 EQU 23H DATE2 EQU 24H DATE3 EQU 25H DATE4 EQU 26H LL6 EQU 27H LL5 EQU 28H LL4 EQU 29H LL3 EQU 30H LL2 EQU 31H LL1 EQU 32H M EQU 33H N EQU 34H V ALUEH EQU 35H ;暂存TH1的值V ALUEL EQU 36H ;暂存TL1的值AS EQU 37H ORG 0000H LJMP START ORG 000BH LJMP INT00 ORG 001BH LJMP INT11 START: MOV SP,#60H MOV P0,#0C0H MOV P2,#00H MOV 22H,#00H MOV 23H,#00H MOV 24H,#00H MOV 25H,#00H MOV 26H,#00H MOV LL6,#0 MOV LL5,#0 MOV LL4,#0 MOV LL3,#0 MOV LL2,#0 MOV LL1,#0 MOV N,#0 MOV M,#1 MOV TMOD,#15H ;T1定时 5ms,T0计数MOV TH1,#0ECH MOV TL1,#81H MOV TH0,#0 MOV TL0,#0 MOV IE,#8AH SETB TR0 SETB TR1 MOV DPTR,#TABLE DETACH: MOV A,LL1 ;显示计数值MOVC A,@A+DPTR MOV P0,#0FFH MOV P2,#80H MOV P0,A MOV A,LL2

相关主题
文本预览
相关文档 最新文档