当前位置:文档之家› 频率计的代码

频率计的代码

频率计的代码
频率计的代码

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity freqtest01 is

port(sysclk:in std_logic;----40mhz 时钟输入

clkin:in std_logic;-----待测频率信号输入

led:out std_logic_vector(5 downto 0);

seg7:out std_logic_vector(7 downto 0);----7段显示控制信号(abcdefg)

scan:out std_logic_vector(3 downto 0));---数码管地址选择信号

end;

architecture one of freqtest01 is

signal cnt:std_logic_vector(31 downto 0);-----用于分频的计数器

signal clk_cnt:std_logic;

signal cntp1,cntp2,cntp3,cntp4,cntp5,cntp6,cntp7,cntp8:std_logic_vector(3 downto 0); signal cntq1,cntq2,cntq3,cntq4:std_logic_vector(3 downto 0);

signal dat:std_logic_vector(3 downto 0);

begin

---------------------------------------0.5Hz分频---------

process(sysclk)

begin

if sysclk'event and sysclk='1' then

if cnt=39999999 then clk_cnt<=not clk_cnt;cnt<=(others=>'0');

else cnt<=cnt+1;

end if;

end if;

end process;

--------------------------------在一秒钟内计数-----

process(clkin)

begin

if clkin'event and clkin='1' then

if clk_cnt='1' then

if cntp1="1001" then cntp1<="0000";

if cntp2="1001" then cntp2<="0000";

if cntp3="1001" then cntp3<="0000";

if cntp4="1001" then cntp4<="0000";

if cntp5="1001" then cntp5<="0000";

if cntp6="1001" then cntp6<="0000";

if cntp7="1001" then cntp7<="0000";

if cntp8="1001" then cntp8<="0000";

else cntp8<=cntp8+1;end if;

else cntp7<=cntp7+1;end if;

else cntp6<=cntp6+1;end if;

else cntp5<=cntp5+1;end if;

else cntp4<=cntp4+1;end if;

else cntp3<=cntp3+1;end if;

else cntp2<=cntp2+1;end if;

else cntp1<=cntp1+1;end if;

else

if cntp1/="0000" or cntp2/="0000" or cntp3/="0000" or cntp4/="0000" or cntp5/="0000" or----对计数值锁存

cntp6/="0000" or cntp7/="0000" or cntp8/="0000" then

if cntp8/="0000" then cntq4<=cntp8;cntq3<=cntp7;cntq2<=cntp6;cntq1<=cntp5;led<="110000";

cntp8<="0000"; cntp7<="0000"; cntp6<="0000"; cntp5<="0000";cntp4<="0000";cntp3<="0000";cntp2<="0000";cntp1<="0000";

elsif cntp7/="0000" then cntq4<=cntp7;cntq3<=cntp6;cntq2<=cntp5;cntq1<=cntp4;led<="111000";

cntp8<="0000"; cntp7<="0000"; cntp6<="0000"; cntp5<="0000";cntp4<="0000";cntp3<="0000";cntp2<="0000";cntp1<="0000";

elsif cntp6/="0000" then cntq4<=cntp6;cntq3<=cntp5;cntq2<=cntp4;cntq1<=cntp3;led<="111100";

cntp8<="0000"; cntp7<="0000"; cntp6<="0000"; cntp5<="0000";cntp4<="0000";cntp3<="0000";cntp2<="0000";cntp1<="0000";

elsif cntp5/="0000" then cntq4<=cntp5;cntq3<=cntp4;cntq2<=cntp3;cntq1<=cntp2;led<="111110";

cntp8<="0000"; cntp7<="0000"; cntp6<="0000"; cntp5<="0000";cntp4<="0000";cntp3<="0000";cntp2<="0000";cntp1<="0000";

else cntp4<=cntq4;cntq3<=cntp3;cntq2<=cntp2;cntq1<=cntp1;led<="111111";

cntp8<="0000"; cntp7<="0000"; cntp6<="0000"; cntp5<="0000";cntp4<="0000";cntp3<="0000";cntp2<="0000";cntp1<="0000";

end if;

end if;

end if;

end if;

end process;

-----------------------------扫描数码管----

process(cnt(15 downto 14),cntq1,cntq2,cntq3,cntq4,dat)

begin

case cnt(15 downto 14) is

when "00"=>scan<="1110";dat<=cntq1;

when "01"=>scan<="1101";dat<=cntq2;

when "10"=>scan<="1011";dat<=cntq3;

when "11"=>scan<="0111";dat<=cntq4;

when others=>null;

end case;

end process;

----------------------------数码管显示译码

process(dat)

begin

case dat is

when"0000"=>seg7<="00000011";--00000011 when"0001"=>seg7<="10011111";--10011111

when"0010"=>seg7<="00100101";--00100101 when"0011"=>seg7<="00001101";--00001101

when"0100"=>seg7<="10011001";--10011001 when"0101"=>seg7<="01001001";--01001001 when"0110"=>seg7<="01000001";--01000001 when"0111"=>seg7<="00011111";--00011111

when"1000"=>seg7<="00000001";--00000001 when"1001"=>seg7<="00001001";--00001001 when others=>null;

end case;

end process;

end;

电子频率计课程设计报告

物理与电子工程学院 课程设计 题目:简易频率计 专业 班级 学号 学生姓名 指导教师 数字频率计数器

电子工程师经常需要测量频率、时间间隔、相位和对事件计数,精确的测量离不开频率计数器或它的同类产品,如电子计数器和时间间隔分析仪。 频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。 频率计主要由四个部分构成:时基(T)电路、输入电路、计数显示电路以及控制电路。在一个测量周期过程中,被测周期信号在输入电路中经过放大、整形、微分操作之后形成特定周期的窄脉冲,送到主门的一个输入端。主门的另外一个输入端为时基电路产生电路产生的闸门脉冲。在闸门脉冲开启主门的期间,特定周期的窄脉冲才能通过主门,从而进入计数器进行计数,计数器的显示电路则用来显示被测信号的频率值,内部控制电路则用来完成各种测量功能之间的切换并实现测量设置。 衡量频率计数器主要指标是测量范围、测量功能、精度和稳定性,这些也是决定价格高低的主要依据。 关键词:频率计;数码管;锁存器;计数器;定时器

1课程设计目的 (1) 2课程设计的指标 (1) 3课程设计报告内容 (1) 3.1设计方案的选定与说明 (1) 3.1.1方案的设计与论证 (2) 3.2论述方案各部分工作原理 (3) 3.2.1时基电路 (3) 3.2.2计数器 (5) 3.2.3锁存器 (6) 3.3设计方案的图表 (7) 3.3.1设计原理图 (7) 3.4编写设计说明书 (8) 3.4.1设计说明 (8) 3.4.2性能技术指标与分析 (9) 4仿真结果 (10) 5总结 (11) 参考文献 (12) 附录 (13) 附录A 元器件清单 (13) 附录B 设计电路 (13)

Verilog HDL数字频率计

目录 目录 第一章测量原理与方法 (2) 1.1测频方法 (2) 1.2测周方法 (3) 1.3等精度测量法 (3) 1.4放大整形电路 (4) 1.5时基信号产生 (5) 第二章任务要求 (6) 第三章各模块功能及介绍 (6) 3.1分频器 (6) 4.2闸门选择器 (8) 4.3频率计数器 (10) 4.4锁存器 (12) 4.5扫描显示控制译码系统 (13) 第四章顶层电路及总体仿真 (14) 4.1顶层电路 (14) 4.2总体仿真结果 (14) 4.3测试结果 (17) I

2 第一章 测量原理与方法 所谓“频率”,就是周期性信号在单位时间(秒)内变化的次数。若在一定的时间间隔T 内计数,计得某周期性信号的重复变化次数为N ,则该信号的频率可表达为: f = N / T 所以测量频率就要分别知道N 和T 的值,由此,测量频率的方法一般有三种:测频方法、测周方法和等精度测量。 1.1 测频方法 这种方法即已知时基信号(频率或周期确定)做门控信号,T 为已知量,然后在门控信号有效的时间段内进行输入脉冲的计数,原理图如下图所示: 图 1-1 测频方法原理图 首先,被测信号①(以正弦波为例)经过放大整形后转变成方波脉冲②,其重复频率等于被测信号频率。把方波脉冲②加到闸门的输入端。由一个高稳定的石英振荡器和一系列数字分频器组成了时基信号发生器,它输出时间基准(或频率基准)信号③去控制门控电路形成门控信号④,门控信号的作用时间T 是非常准确的(由石英振荡器决定)。门控信号控制闸门的开与闭,只有在闸门开通的时间内,方波脉冲②才能通过闸门成为被计数的脉冲⑤由计数器计数。闸门开 被 测 信计数器 ① ②

VC2000智能频率计使用说明书06.5.7

VC2000智能频率计使用说明书 一、概述 VC2000智能频率计是多功能智能仪器,具有:频率测量、脉冲计数,及晶体测量等功能,并有4档时间闸门5档功能选择,和8位LED高亮度显示。 本频率计是一个10HZ——2400MHZ的多功能智能频率计。 全部功能是用一个单片微控制器(CPU)来完成的。本仪器是智能数字化仪器,晶体有恒温控控制线路,降低了温度漂移造成的测量误差,本机有工作状态记忆功能,每次开机后均可按上次所设置的功能工作。整机性能稳定,功能齐全,是一种高性能,低价位的理想智能数字化仪器。 二、技术条件及说明 1.测量 (1)、输入端口 本机有3个输入通端口 1.A端口为50MHZ—2400MHZ的高频通道端口 2.B端口为10MHZ-50MHZ的低频通道端口 3.晶振端口为晶体测量端口 (2)、频率测量 1.量程 共有5个档位,第1—3档测频率,第4档测累计计数,第5档测晶体 档位1 50MHZ—2400MHZ由A端口输放 档位2 4MHZ—50MHZ由B端口输放 档位3 10HZ—4MHZ由B端口输入 2.分辨率 3.闸门时间:0.1秒、1.0 秒、5.0秒、10秒,可任选。 3.精度:基准时间误差*被测频率±1个字。 (3)累计测量 档位4,B输入端口;分辨计:±1个字计数频率范围:10HZ—4HZ (4)晶体测量 档位5,由面板晶体振槽插入,测试范围:3.5MHZ—16MHZ 2.输放特性 通道A输放灵敏度25mVrms/200mVrms;阻抗约50 欧;最大安全电压为3V

通道B输放灵敏度:第二档:25mVrms/80mVrms;第三档:10mVrms/30mVrms;阻抗约1兆欧(少于35Pf);最大安全电压为30V 3.时基: 短期稳定度:±3*10-9/秒;长稳定度:±210-5/月;温度:±1*10-5 10℃--40℃ 4.显示 为8位LED高亮度显示并带有频率、计数、晶振、KHZ、MHZ等显示以及各档位和时间闸门的LED显示。 5.电源:幅度AC 220V/110V±10%;频率50HZ/60HZ 6.温度使用范围–5℃-50℃;存放和运输:–40℃-60℃ 7.湿度:10—90%RH 存放5—90%RH。 8.预热时间:20分钟 9.尺寸:270mm*215mm*100mm 10.重量约1550g 第二章操作说明 一。使用要求。 (1)电源要求:AC 220V/110V±10%、50HZ/60HZ最大消耗功率为5W。 (2)预热要求:测量前应预热20分钟以保证晶体振荡器的频率稳定。 二.面板说明 正面:晶振键、闸门键、档位键、确定键、复位键、晶振插槽、晶振指示灯、MHZ指示灯、KHZ指示灯、LED显示器、计数指示灯、频率指示灯、B端口(10HZ—50MHZ)、A端口(50MHZ—2.4GHZ);背面:电源开关、电源转换开关(A V220V/110V);电源插座;保险丝座。 正面板说明: 晶振按键:用于测量晶振的按键,当测晶振时将被测晶振插入面板右下方的晶振插槽,并同时按下此键才能测试晶体,没测晶振时一定要再按此键一闪,使振荡线路停振,以确保不对外界产生干扰。 闸门按键:用于设置测量时的不同计数周期(产生相应的分辨率)。共设有4个闸门时间即0.1s 、1S、5S、10S(S=秒) 档位按键:共设置5个档位 档位1:50MHZ—2400MHZ量程A通道,测量单位显示“MHZ”(窗口后部显示) 档位2:4MHZ—50MHZ量程B通道,测量单位显示“MHZ”(窗口后部显示) 档位3:10HZ—4MHZ量程B通道,测量单位显示“KHZ”(窗口后部显示) 以上三档为测量频率档位,“频率”指示类亮。(在窗口前端) 档位4:累积计数测量,B通道输入,此时“计数”灯亮。 档位5:测试晶体,晶振插槽插入,此时“晶振”灯亮,测量单位显示“KHZ”。 每次选择好闸门,档位后再按下“确定”键后,频率计即刻开始工作,每次开机或“复位”键后,仪器自动进入上次按“确定”后的工作状态。 复位按键:当仪器出现非正常状态时,按一下该键,则仪器可恢复正常工作。 B通道:档位2、3、4输放最大幅小于30V。 A通道:档位1输入端口,输放最大幅度不于3V。 后面板说明: 电源开关 电源转换开关:A V220V/110V可转换 电源插座

自适应频率计设计说明书

自适应数字频率计 设 计 说 明 书 负责人:张赟颍 队员:黄蜀宾、熊华竞

目录 1、项目介绍................................................................................................................................ - 1 - 2、制作流程图............................................................................................................................ - 1 - 2.1 项目制作流程如下:................................................................................................... - 1 - 2.2 项目时间进度安排如下:........................................................................................... - 1 - 3、系统功能分析........................................................................................................................ - 2 - 3.1 系统的功能模块框图................................................................................................... - 2 - 3.2 分频模块....................................................................................................................... - 3 - 4.选频模块: ......................................................................................................................... - 5 - 5. 控制模块......................................................................................................................... - 7 - 6 数码管显示.................................................................................................................... - 13 - 7、软件设计.............................................................................................................................. - 13 - 7.1 软件流程图................................................................................................................. - 13 - 8.软件代码介绍......................................................................................................................... - 14 - 9、附件...................................................................................................................................... - 19 - 9.1 系统的原理图............................................................................................................. - 19 - 系统PCB图...................................................................................................................... - 20 -

《用AT89C51制作八位数字频率计》源程序(精)

《用AT89C51制作八位数字频率计》一文的完整程序清单及注释 ORG 00H ;指定下条指令的地址 AJMP MAIN ;跳转至MAIN 50M INC 23H RETI ORG 001BH ;定时器T1中断入口,T1作定时,T0作计数 PUSH A ;累加器A压入堆栈 PUSH PSW ;状态寄存器压入堆栈 DJNZ 40H,JJ ;产生1s定时时标 MOV 40H,#0C8H DJNZ 41H,JJ CLR P3.1 ;关闭闸门 ANL 88H,#0AFH ;1s末,关闭T0和T1 MOV 20H,P1 MOV 21H,TL0 ;T0计数值送21H和22H MOV 22H,TH0 SETB P3.0 ;LS393清零 ACALL COUNT ;调用二进制转BCD码程序 JJ: POP PSW POP A RETI ORG 50H ;以下程序从地址50H开始 MAIN: MOV SP,#50H ;将初始值赋予SP MOV TH1,#06H ;将初始值赋予TH0

MOV TL1,#06H ;将初始值赋予TL0 MOV TMOD,#25H ;设定时器方式 SETB TR0 ;启动计数器0 SETB TR1 SETB EA SETB ET1 SETB ET0 MOV 40H,#0C8H MOV 41H,#28H MOV 30H,#78H MOV 31H,#56H MOV 32H,#34H MOV 33H,#12H MOV R2,#00H MOV 23H,#00H HERE: MOV DPTR,#TABLE ;动态扫描程序 CLR C MOV A,R2 RLC A JMP @A+DPTR TABLE: AJMP PG0 AJMP PG1 AJMP PG2 AJMP PG3 AJMP PG4 AJMP PG5

SP2271数字超高频毫伏表频率计使用说明书..

目录 第一章概述1第二章工作特性 2 2.1 毫伏表 2 2.2 频率计 3 2.3 基准输出 3 2.4 远控功能 3 2.5 其它 4 第三章面板说明 5 3.1 前面板 5 3.2 后面板10 第四章使用说明11 4.1 测量前的工作11 4.2 电压输入通道测量12 4.3 系统设置14 第五章远程控制17 5.1 遥控操作前的准备工作17 5.2 命令格式说明18 5.3 命令简介19 5.4 命令详解20 第六章注意事项24 第七章附件清单26

SP2271是一种新型的采用微处理器控制的智能化数字超高频毫伏表/频率计,该仪器采用检波放大工作原理,能测量10kHz~1000MHz 的正弦电压。测量电压范围800μVrms~10Vrms、分辨率1μV、准确度优于±2%。 本仪器采用高亮度VFD显示,读数清晰、亮度高、寿命长,该机具有频率响应良好、驻波系数小、灵敏度高、功耗低、体积小、重量轻等特点。仪器能自动调零,测量电压时既可以选择自动量程也可以选择手动测量量程,仪器带有RS232接口,可进行远程测量控制。 该仪器是生产车间和实验室超高频电压计量测试的必备仪器(如超高频标准信号源输出电压频响的计量测试)。该仪器测量的稳定性好、分辨率高、重复性好,可用于计量信号源输出电压的误差和稳定性,同时也能用于10kHz到1GHz超高频电压计量工作传递标准,也可用于自动测试系统中测试高频电压。 该仪器可选配10kHz~1000MHz频率插件,使该机一机两用,可作为10kHz~1000MHz频率计使用。 该仪器按GB6587.1-86“电子测量仪器环境试验总纲”的规定属于第Ⅱ组仪器。(额定使用上限温度试验按SJ2314-83的3.15规定湿度为80%)。

SP2271数字超高频毫伏表频率计使用说明书

目录 第一章概述1 第二章工作特性 2 2.1 毫伏表 2 2.2 频率计 3 2.3 基准输出 3 2.4 远控功能3 2.5 其它 4 第三章面板说明 5 3.1 前面板 5 3.2 后面板 10 第四章使用说明11 4.1 测量前的工作11 4.2 电压输入通道测量 12 4.3 系统设置 14 第五章远程控制17 5.1 遥控操作前的准备工作 17 5.2 命令格式说明 18

5.3 命令简介 19 5.4 命令详解 20 第六章注意事项24 第七章附件清单26

SP2271是一种新型的采用微处理器控制的智能化数字超高频毫伏表/频率计,该仪器采用检波放大工作原理,能测量10kHz~1000MHz 的正弦电压。测量电压围800μVrms~10Vrms、分辨率1μV、准确度优于±2%。 本仪器采用高亮度VFD显示,读数清晰、亮度高、寿命长,该机具有频率响应良好、驻波系数小、灵敏度高、功耗低、体积小、重量轻等特点。仪器能自动调零,测量电压时既可以选择自动量程也可以选择手动测量量程,仪器带有RS232接口,可进行远程测量控制。 该仪器是生产车间和实验室超高频电压计量测试的必备仪器(如超高频标准信号源输出电压频响的计量测试)。该仪器测量的稳定性好、分辨率高、重复性好,可用于计量信号源输出电压的误差和稳定性,同时也能用于10kHz到1GHz超高频电压计量工作传递标准,也可用于自动测试系统中测试高频电压。 该仪器可选配10kHz~1000MHz频率插件,使该机一机两用,可作为10kHz~1000MHz频率计使用。 该仪器按GB6587.1-86“电子测量仪器环境试验总纲”的规定属于第Ⅱ组仪器。(额定使用上限温度试验按SJ2314-83的3.15规定湿度为80%)。

单片机简易频率计课程设计

前言 (3) 一、总体设计 (4) 二、硬件设计 (6) AT89C51单片机及其引脚说明: (6) 显示原理 (8) 技术参数 (10) 电参数表 (10) 时序特性表 (11) 模块引脚功能表 (12) 三、软件设计 (12) 四、调试说明 (15) 五、使用说明 (17) 结论 (17) 参考文献 (18)

附录 (19) Ⅰ、系统电路图 (19) Ⅱ、程序清单 (20)

前言 单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械以及各种智能机械了。因此,单片机的学习、开发与应用在生活中至关重要。 随着电子信息产业的不断发展,信号频率的测量在科技研究和实际应用中的作用日益重要。传统的频率计通常是用很多的逻辑电路和时序电路来实现的,这种电路一般运行缓慢,而且测量频率的范围比较小.考虑到上述问题,本论文设计一个基于单片机技术的数字频率计。首先,我们把待测信号经过放大整形;然后把信号送入单片机的定时计数器里进行计数,获得频率值;最后把测得的频率数值送入显示电路里进行显示。本文从频率计的原理出发,介绍了基于单片机的数字频率计的设计方案,选择了实现系统得各种电路元器件,并对硬件电路进行了仿真。

一、总体设计 用十进制数字显示被测信号频率的一种测量装置。它以测量周期的方法对正弦波、方波、三角波的频率进行自动的测量. 所谓“频率”,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为f=N/T。其中脉冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等于被测频率f x。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号持续时间亦准确地等于1s.闸门电路由标准秒信号进行控制,当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数译码显示电路。秒信号结束时闸门关闭,计数器停止计数。由于计数器计得的脉冲数N是在1秒时间内的累计数,所以被测频率fx=NHz。 本系统采用测量频率法,可将频率脉冲直接连接到AT89C51的T0端,将T/C1用做定时器。T/C0用做计数器。在T/C1定时的时间里,对频率脉冲进行计数。在1S定时内所计脉冲数即是该脉冲的频率。见图1: 图1测量时序图 由于T0并不与T1同步,并且有可能造成脉冲丢失,所以对计数器T0做一定的延时,以矫正误差。具体延时时间根据具体实验确定。 根据频率的定义,频率是单位时间内信号波的个数,因此采用上述各种方案

数字频率计设计(PCB图+电路图+源程序)-课程设计

数字频率计设计(PCB图+电路图+源程序)-课程设计数字频率计设计开题报告 选题意义及国内外发展状况 本课题主要研究如何用单片机来设计数字频率计。因为在电子技术中,频率的测 量十分重要,这就要求频率计要不断的提高其测量的精度和速度。在科技以日新 月异的速度向前发展,经济全球一体化的社会中,简洁、高效、经济成为人们办 事的一大宗旨。在电子技术中这一点表现的尤为突出,人们在设计电路时, 都趋 向于用尽可能少的硬件来实现, 并且尽力把以前由硬件实现的功能部分, 通过软 件来解决。因为软件实现比硬件实现具有易修改的优点, 如简单地修改几行源代码就比在印制电路板上改变几条连线要容易得多, 故基于微处理器的电路往往比传统的电路设计具有更大的灵活性。 单片机就属于这一类设计电路,单片机因其功能独特和廉价已在全球有数???千 种成功的范例, 在国内也开发出了充电器、空调控制器、电子定时器、汽车防盗 器、卫星接收机以及各种智能仪表等实用产品。频率计也是单片机的一种很重要

的应用, 价格低廉且具有实际意义。虽然使用逻辑分析仪也可以很好的测量信号 的频率等参数,但其价格太昂贵。实现测量的数字化、自动化、智能化已成为各 类仪表设计的方向,而由单片机控制的、全自动的、数字显示的频率计就符合这 一设计理念。 说到用单片机设计的频率计,这里说一下单片频率计ICM7216D。单片频率计ICM7216D是美国Intersil公司首先研制的专用测频大规模集成芯片。它是标准的28引脚的双列直插式集成电路,采用单一的+5V稳压电源工作。它内含高频振荡器、10进制计数器、7段译码器、位多路复用器、能够直接驱动LED显示器的8段段码驱动器、8位位码驱动器。其基本的测频范围为DC至10MHz,若加预置的分频电路,则上限频率可达40MHz或100MHz,单片频率计ICM7216D只要加上晶振、量程选择、LED显示器等少数器件即可构成一个DC至40MHz的微型频率计,可用于频率测量、机械转速测量等方面的应用。还有,PTS2600是英国研制的一款微波频率计,该频率计可以测量频率高达26GHz的信号,而价格才只有几万元,可谓是物美价廉。PTS2600虽然是一个低价格的微波频率计,但它能在四个波段有很好的灵敏度测量40Hz到20GHz的频率。也可以用它来测量高达26GHz的频率,只是灵敏度稍稍低了一些。日常工作中,用它来测量 VF/VHF/UHF频段的频率,也十分方便和准确。PTS2600使用一个12位数字的LCD 液晶显示屏来显示所测得的频率、闸口时间(分辨率相关)、菜单功能以及频率表的测量 结果。所有这些数值都是同时显示在一个屏幕上的。PTS2600的机箱采用高标准的铝质材料制成,各模块安装在下方有钢板支承的母板上。模块相对独立,维修

频率计(1)

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:数字频率计 学院名称:信息工程学院 专业:电子信息工程班级: ******* 学号: ****** 姓名: ***** 评分:教师: ****** 20 11 年 10 月 5 日

数字电路课程设计任务书 20 11 -20 12 学年第 1 学期第 1 周- 2 周 题目数字频率计 内容及要求 基本要求:采用基本数字集成电路设计制作——简易数字频率计,要求测量频率范围为0—9999Hz,测量分辨率为10Hz,并使用LED数码管显示。 提高要求:1、讨论测量误差的形成原因并提出改进方案2、提高测频范围的方案3、输入保护4、输入信号为正弦波、三角波、方波的情况 进度安排 1. 布置任务、查阅资料、选择方案,领仪器设备:第一周2天; 2. 领元器件、焊接、制作:第一周3天 3.调试:第二周2天 4. 验收:第二周0.5天 5.写报告:本学期3~7周 学生姓名:郭健 指导时间2011.8.29-2011.9.10 指导地点: E 楼 311室任务下达2011年 8 月 29 日任务完成2011 年 9 月日考核方式 1.评阅□ 2.答辩□ 3.实际操作□ 4.其它□ 指导教师王忠华系(部)主任

摘要 数字频率计是直接用十进制数字来显示被测信号的频率的一种测量装置。它不仅可以测量方波、正弦波、三角波尖脉冲信号和其他具有周期性信号的频率,而且,还可以测量它们的周期。经过改良,可以测量脉冲宽度,做成数字式脉冲宽度测量仪,可以测量电容,做成数字式电容测量仪。在电路中增加传感器等元器件,还可以做成数字式脉搏仪、计价器等等。因此,数字频率计在测量物理信号与电量信号方面有非常广泛的应用。数字频率计是计算机、通信设备、音频视频等科研领域设计生产必不可少的测量仪器。本设计是采用CD4017与CD4511芯片进行对被测信号的控制与计数,从而通过译码器与数码管的显示。本设计具有体积小、功耗低、结构简单、读数直观、可靠性高等特点。 关键字:整形电路、逻辑控制电路、译码显示电路 目录 一、前言………………………………………………………………………… 二、设计要求…………………………………………………………………………

数字频率计的设计说明书

数显频率计设计任务书 ⑴硬件设计:根据任务要求,完成单片机最小系统及其扩展设计。 ⑵软件设计:根据硬件设计完成显示功能要求,完成控制软件的编写与调试; ⑶功能要求:用89C51单片机的定时器/计数器的定时和计数功能,外部扩展6 位 LED数码管,要求累计每秒进入单片机的外部脉冲个数,用LED数码 管显示出来。

目录 摘要............................................................................................................ .. (4) 1. 绪论............................................................................................................ . (4) 2. 设计要求及方案选 (6) 1.1 设计要求 (6) 1.2 方案选择 (6) 3.系统电路设计 (7) 3.1 基于单片机的数字频率计的原理 (7) 3.2 单片机的概述及引脚说明 (8) 3.3 单片机的最小系统 (9) 3.4 单片机的定时\计数 (9) 3.5 定时器\计数器的四种工作方式 (10) 3.6 主要程序段及软件流程图设计 (12) 3.6.1 流程图 (12) 3.6.2 源程序 (14) 结论............................................................................................................ (16) 致谢......................................................................................................... .. (17) 参考文献................................................................................................................. . (18) 附录........................................................................................................... .. (19)

电工电子技术课程设计说明书简易数字频率计设计

摘要 频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,此时我们称基础时间为1秒。基础时间也可以大于或小于一秒。基础时间越长,得到的频率值就越准确,但基础时间越长则没测一次频率的间隔就越长。基础时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。本文数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。 关键词:数显、频率计、时基、protues仿真、555构成多谐振荡器 简易数字频率计的设计 数字频率计是直接用十进制数字来显示被测量信号频率的一种测量装置,

它不仅可以测量正弦波、方波、三角波和尖端冲信号的频率,而且还可以测量它们的周期。 频率,就是周期性信号在单位时间 (1s) 内变化的次数.若在一定时间间隔 T 内测得这个周期性信号的重复变化次数为 N ,则其频率可表示为 f=N/T 。原理框图中,被测信号 Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号Ⅱ,其高电平持续时间t1=1s,当1s信号来到时,闸门开通,被测脉冲信号通过闸门,计数器开始计数,直到1s信号结束时闸门关闭,停止计数。若在基础时间1S内计数器计得的脉冲个数为N,则被测信号频率fx=NHz。逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生“0”脉冲Ⅴ,使计数器每次测量从零开始计数。 1.电路设计方案及其论证

1-1 ICM7216D 构成数字频率计电路图 由ICM7216D 构成的数字频率计 由ICM7216D 构成的10MHZ 频率计电路采用+5V 单电源供电。高精度晶体振荡器和321R C C 、、构成10MHz 并联振荡电路,产生时间基准频率信号,经内部分频后产生闸门信号。输出分别连接到相应数码显示管上。ICM7216D 要求输入信号的高电平大于,低电平小于,脉宽大于50ns ,所以实际应用中,需要根据具体情况增加一些辅助电路。 优点:这个电路由于芯片集成度相对较高,所以电路设计较为简单,操作比较简单。而且精确度高。 缺点:对于芯片不太熟悉,而且由于集成度太高,缺少电路设计,仿真软件中并没有这个芯片。由于输出级需要相应的辅助电路,为电路设计带来很大麻烦。

数字频率计(51单片机)

自动化与电子工程学院单片机课程设计 报告 课程名称:单片机原理与应用 学院:自动化与电子工程院 专业班级: 学生姓名: 完成时间: 报告成绩:

目录 第1章数字频率计概述 (2) 1.1数字频率计概述 (1) 1.2数字频率计的基本原理 (1) 1.3单脉冲测量原理 (2) 第2章课程设计方案设计 (2) 2.1系统方案的总体论述 (2) 2.2系统硬件的总体设计 (3) 2.3处理方法 (3) 第3章硬件设计 (4) 3.1单片机最小系统 (4) 第4章软件设计 (5) 4.1系统的软件流程图 (5) 4.2程序清单 (7) 第5章课程设计总结 (7) 参考文献 (8) 附录Ⅰ仿真截图 (9) 附录Ⅱ程序清单 (15)

第1章数字频率计概述 1.1数字频率计概述 数字频率计又称为数字频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量方波信号及其他各种单位时间内变化的物理量。 本数字频率计将采用定时、计数的方法测量频率,采用6个数码管显示6位十进制数。测量范围从10Hz—5.5kHz,精度为1%,用单片机实现自动测量功能。 基本设计原理是直接用十进制数字显示被测信号频率的一种测量装置。它以测量频率的方法对方波的频率进行自动的测量。 1.2数字频率计的基本原理 数字频率计最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T(如图1.1所示)。 图1.1 频率测量原理 频率的测量实际上就是在1s时间内对信号进行计数,计数值就是信号频率。用单片机设计频率计通常采用的办法是使用单片机自带的计数器对输入脉冲进行计数;好处是设计出的频率计系统结构和程序编写简单,成本低廉,不需要外部计数器,直接利用所给的单片机最小系统就可以实现。缺陷是受限于单片机计数的晶振频率,输入的时钟频率通常是单片机晶振频率的几分之一甚至是几十分之一,在本次设计使用的AT89C51单片机,由于检测一个由“1”到“0”的跳变需要两个机器周期,前一个机器周期测出“1”,后一个周期测出“0”。故输入时钟信号的最高频率不得超过单片机晶振频率的二十四分之一。根

数字频率计的说明书

目录页数 1. 产品介绍................................................................................. 1-1.简述……….………………………………………………. 1-2.特性…...…………………………………………………... 1 1 1 2. 技术规格 (2) 3. 使用前之注意事项……………………………….…………... 3-1.拆开包装…………………..………………….…………... 3-2.使用电源…………………...…………………..…………. 3-3.设备安装和操作………………………...………………... 3-4.预备工作………………………………………………….. 3 3 3 4 4 4. 面板介绍 (5) 5. 应用……………………………………………………………. 5-1.灵敏度………..…………………………………………… 5-2.输入灵敏度特性………………...………………………... 5-3.最大输入电压…………..………………………………... 5-4.典型应用………………………………………………….. 7 7 10 11 11 6. 电路描述…………………..……………………………...…... 6-1.工作原理……………………………..………………….... 6-2.频率测量精度 (13) 13 14 7. 维护……………..…………………………………………….. 7-1.标准的校准方法………………….……………………… 7-2.清洁…..…………………………………………………... 18 18 18

简易数字频率计设计-现代电子设计课程设计报告

河南科技大学 课程设计说明书 课程名称__现代电子系统课程设计__题目___简易数字频率计设计__ 学院___电子信息工程学院___班级_电子信息科学与技术091班_学生姓名_____李可以______指导教师__齐晶晶、张雷鸣___日期2012.12.21

课程设计任务书 (指导教师填写) 课程设计名称现代电子系统课程设计学生姓名李可以专业班级电信科091 设计题目简易数字频率计设计 一、课程设计目的 掌握高速AD的使用方法; 掌握频率计的工作原理; 掌握GW48_SOPC实验箱的使用方法; 了解基于FPGA的电子系统的设计方法。 二、设计内容、技术条件和要求 设计一个具有如下功能的简易频率计。 (1)基本要求: a.被测信号的频率范围为1~20kHz,用4位数码管显示数据,十进制数值显示。 b.被测信号为幅值1~3V的方波、脉冲信号。 c.具有超量程警告(可以用LED灯显示,也可以用蜂鸣器报警)。 d.当测量脉冲信号时,能显示其占空比(精度误差不大于1%)。 (2)发挥部分 a.修改设计,实现自动切换量程。 b.扩宽被测信号能测量正弦波、三角波。 c.其它。 三、时间进度安排 布置课题和讲解:1天查阅资料、设计:4天 实验:3天撰写报告:2天 四、主要参考文献 何小艇《电子系统设计》浙江大学出版社 2008.1 潘松黄继业《EDA技术实用教程》科学出版社 2006.10 齐晶晶《现代电子系统设计》实验指导书电工电子实验教学中心 2009.8 指导教师签字: 2012年 12月3日

摘要 频率计是数字电路中的一个典型应用,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,频率测量在科技研究和实际应用中的作用日益重要。数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。随着复杂可编程逻辑器件(CPLD)的广泛应用,以EDA工具作为开发手段,运用VHDL语言。将使整个系统大大简化。提高整体的性能和可靠性。 在本文中,我们设计了一个简易数字频率计。主要分为如下几个部分: A/D模块:用硬件描述语言写一个状态机,控制ADC0809芯片正常工作,使输入的被测模拟信号经过ADC0809芯片处理,转化为数字信号。 比较整形模块:将A/D转换出来的数字信号通过比较,高于阈值的为1低于阈值的为0从而将八位数字信号转换为脉冲便于频率计算。 频率测量模块:常用的频率测量方法有很多。有计数法和计时法,等精度法等,具体的方案论证将在下面进行。 占空比计算模块:计算脉冲波占空比,具体的方案论证将在下面进行。 选择显示模块:由于只有四位数码管显示,所以用一个二选一选择器,通过一个按键控制四位数码管显示的内容是频率还是占空比。 关键词:数字频率计、模块、占空比、数字信号、测量、阈值

HC-F1000L多功能频率计使用说明

HC-F1000L多功能计数器 概述 HC-F1000L/M多功能计数器(以下简称本仪器)是采用单片机对测量进行智能化控制和数据处理的多功能计数器,测量范围为数码管进行显示,具有四种测量功能,采用低功耗线路设计。实现全频段等精度测量。等数位显示(本机基础为10MHz等精度计数器)。内部晶体振荡器稳定性高,保证仪器的测量精度和全输入信号的测量。具有体积小、灵敏度高、极高的性能价格比等优点。 本仪器有四个主要功能:A通道测频、通道测频、A通道测周期及A通道具有输入信号衰减、低通滤器功能。 本仪器可广泛应用于实验室、工矿企业、大专院校、生产调试以及无线通信设备维修之用。高灵敏度的测量设计可满足通信领域超高频信号的正确测量,并取得最好的测量效果。在使用本仪器以前,建议通道并弄懂本说明书,以便正确操作。 技术参数 2.1频率测量范围 A通道:1z~100MHz B通道:100MHz~1000MHz(最高可达1200NHz) 2.2周期测量范围(仅限于A 通道) A通道:1Hz~10MHz 2.3计数频率及容量(仅限于A 通话) 频率:1Hz~10MHz 容量:108-1 2.4输入阻抗 A通道:R≈1MΩ C≤35P f B通道:50Ω 2.5输入灵敏度 A通道:1Hz~10Hz 优于50mVrms(仅供参考) 10Hz~80MHz 优于20mVrms 80Hz~100MHz 优于30mVrms B通道:100Hz~1000MHz 优于20mVrms 1000Hz~1200MHz 优于50mVrms(仅供参考) 2.6闸门时间预选:0.01s;1 s或保持 2.7输入衰减(仅限于通道) A通道:×1或20固定 2.8输入低通滤波器(仅限于A 通道) 2.8.1截止频率:≈100KHz 2.8.2衰减:≈3Db(100 KHz频率点,输入幅度不得<30mVrms) 2.9最大安全电压 A通道:250V(直流和交流之和;衰减置×20档) B通道:3V 2.10准确度 ±时基准确度±触发误差×被测频率(或被测周期)±LSD 其中:LSD=×被测―――频率(或被测周期)

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光专业班级:通信1103 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率范围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2 原理及技术指标 (6) 1.3 单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿真总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (17) 3测试的数据和理论计算的比较分析 (17) 4制作与调试中出现的故障、原因及排除方法 (17) 4.1故障a (17) 4.2故障b (18) 4.3故障c (18) 4.4故障d (18) 4.5故障e (18) 5 心得体会 (19)

相关主题
文本预览
相关文档 最新文档