当前位置:文档之家› 哈工大模电大作业(2)

哈工大模电大作业(2)

哈工大模电大作业(2)
哈工大模电大作业(2)

必爾廉鼻求*摩

Harbin I nstituteof Technology

模电课程大作业

设计题目:.集成运放的应用

院系:-航天学院

班级:_1104104

设计者:一康瑞鹏

学号:-1110410405

设计时间:-2013620

哈尔滨工业大学

2013 年

模电仿真设计作业

用集成运算放大器实现下列运算关系:

U o = 2U il + 3U iI-5 叭

要求所用的运放不多于三个,画电路图,并用multisim仿真,元件要取标称值,取值范围为

1k Q^R< 1M Q 0.1 卩 RM 10 ^F

总体设计思路:

反相求和电路

1 ---------------------

广差分比例运算电、

1---------------------

r结果

1路

1 J

1 -------------------------

L

积分运算电路尸

题目中要求实现的运算前两项为加和形式,已知,求和电路有两种:反向输入求和电路, 同向输入为和电路。同向输入求和电路设计和调整较难,须一直保持运放输入端的对称性,并联电阻过多,不易计算。因此,采用反向输入求和电路,再利用一个反向器调整过来。

一、【_21'电路图如下图所示

为保证运放输入端的对称性,平衡电阻故选取

5.1k 。

仿真结果

图示输出电压约16mV,输入电压为10mV;

输出电压接近输入电压的两倍,与预期值接近。

R5=R1//R2//R3=5k Q。由于常见电阻无5K Q阻值,

Oscil Iosco pe-XSCl

二、I , EII.电路图如下图所示

同上,为保证运放输入端的对称性,平衡电阻R5=R1//R2//R3=5k Q。由于常见电阻无5KQ阻值, 故选取5.1k 。

仿真结果

图示输出电压约56mV,输入电压为20mV;

输出电压接近输入电压的三倍,与预期值接近。

n^rillovo 卩

I ,

I 」电路图如下图所示

仿真结果

双通道示波器: A 通道选择 AC 输出(显示信号的波形只含有

A 通道输入信号的交流成分)

B 通道选择 D

C 输出(显示信号的波形含有 B 通道输入信号的交、直流成分)

。如上图所示,当

积分器输入方波信号时,无法得到预期的三角形输出信号(峰峰值与理论差值相比误差较大)

11

*厄

T2

0B

T2-T1 Time Channel_A

Channel_B (hOOQ s 56.050 mV 20.000 mV 240323 HIS

56.050 mV

ZO.QOO mv

240.313 ms S90.472fV

D.OQO V

Timebase

Szalle: -00 ms/Div Ctiannel A

Scale: 20 riV/Div

Channel B

Sccle: 20 mV/Div

Y pos,(Div): C

Xpos.(Div): 0

[yr] Add |B /A |[ A /B

日 0

Ext. trigger

Y pos,(Div]: 0 ?EEH @

Trigger

珂己: 国勺 B ][讯

Level: n

v

Sing ip Mo rm si Alito N D 「I @]

[V2

O RR11

这是由运放的输入失调误差引起的,因而需要在积分电容两端并联一个较大电阻的 移加以抑制,取

R11=100K Q o

在仿真时要达到图示的波形,所需时间比较长,最初的输出偏离零点。

Oscil Iosco pe-XSC2

n -|0

Time Channel A Zhanne B

2.559 s -17833B uY 2.000 V

Reverse

T2

ss

2.601 s 426.739 uV 2.000 V

TZT1

2.637 ms

605.066 nV

0.000 V

Save

Ext. :nqqer

Trigger

i

lie'll

tnQnnei □

Scale : 500 us/Div Scale: 500 uV/Div

Scale: 2 ¥/D

Ed^e:

X pos+(Div):

Y pos,(Div): C Y pas,(D>v): 0

Level :

V

丽陶d|丽丽] ?l_2_ 1

竺1

AC| & IM ' 1 ⑨

Single Normal Auto None

四、I ,

1^1电路图改进如下图所示

R11,对漂

改进电路后,输出的波形与理论计算接近。

在仿真时要达到图示的波形,所需时间比较长,最初的输出偏离零点。

O^ci Ho5cope-XSC2

五、I, 门匚;1亠■ 冷_山电路图设计

电路图的综合设计为把前面的部分综合在一起,为了更好地显示输入电压与输出电压的关系,此处选择较小的U i1、U2。

R1

?V;V15k Q

| R2

=I------------------ 24

V8

10k Q

—10mV

I

XFG3

R4

-AVr-

200k Q

V6

12 V

T R3

V2 —12

V

R11

100k Q

C1

-IP

R7

10k Q

R8

U2

5 741

V3

6

—12 V

1

---------

-. 10k Q

T V5

—12 V

XSC1

___ V1

—12 V

1萨

R9

AAAr

10k Q

在仿真时要达到图示稳定的波形,所需时间比较长,最初的输出会偏大。

'scilloscope-XSCl

1

i

ci 1

1

4 1 1 ?I

1 i >1 i

1

ci

1

1

1 ■ ■ ■ ■ ■ ■ ■■ ■ al ■■ ■ ■■■■ ■ ■

■ ■■■ ■ ■■ n >■ L ■■ ■I

i

i

1

il

1

1

1 1

-

i i 1 i i

i 1 1

■f :

H !l

-- ----------- H=r ^

----------------------- _ _ _― ---------------------------------------------------------------------------------------------------------------------- ------------------- ----- ' _____________________________________ _______________ 1

r

' T I

il

|

? ■▲■■a K ■

■ a J ■ JL ■ a

??■a B L^

II

1 1 i 1

i

II il

1

>1 1

1 II 1 1 ■1

1

1 M —

I 匚匚=

1 i 1 1 i I

—i —r —i' 1—]—i

i il i ~1—1—1—w

il

|— 1 1

1 d 1

1 i|

| |

i

1

i

[|

il

1

■1

1

1 _ 1--

il i| | 4 1 1 [| il 1 1

1

1

i —i —f —r —|—i

i

i i I i i I i

—~1 -----1 ----- 1 ----- ' ----- 1 ----- 1 ---- 1 ----- 1 ----- --------------------------- 1

1 ~ ------------------------------ 1 ---- T -----T ---- 1 ----- 1 --- ] ----- 1 ---- B ---- r ----- 1-----

I I

I

9

_ 一一 _ — _ —

―― _ L _ — _ — —

J

_ — -

- —■- _ —

— _ — (L

— J

I I I 9

1 1 i >1 1 I i i i ■1 | | ci i i ■t 1 1 i

i

i

i

__ ____ _____ j _ _

1

1 i 1 i

P

|

1

ii

■ 10 1

六、作业总结

本次作业初期遇到了看不到波形或波形与理论值偏差很大的情况,和同学讨论交流,查找 了一些资料,也没找到问题的原因。最后在复习实验时,遇到运算放大器的上升沿、下降沿的 测量,在结合仿真软件时间“慢”的特点,找到了问题所在。解决问题同时,对输出波形的变 化过程有了更详细的了解。

一分钟的等待是漫长的(对于运算放大器却只是不到

1秒啊),但结果却是美好的!

P 00

Time

Channel_A Channel_B 1.163 5 25.006 mV -33.255 mV 「引仆

1.L64 5 29.6B3 mV -33.255 mV rz-Ti

532253 us

4.677 rnV

204433 pV

Timebase

匚 hannel A

Channel 日

tale : 500 us/Div Scale : 20 mV/Div Scsle:

山 mV/Div pots>(Div):

Y po^fDiv); 0

Y pohfDiv); 0

¥「r] Add 0^|[A /B

AC |[ o |[DC |

/c' o

网-1

Ext. trigger

Trigger _ Ed

胆SB 囚回

Leve:

哈工大测试技术大作业(锯齿波)

Harbin Institute of Technology 课程大作业说明书 课程名称:机械工程测试技术基础 设计题目:信号的分析与系统特性 院系: 班级: 设计者: 学号: 指导教师: 设计时间:2013/07/05 哈尔滨工业大学

目录

1 题目: 写出下列信号中的一种信号的数学表达通式,求取其信号的幅频谱图(单边谱和双边谱)和相频谱图,若将此信号输入给特性为传递函数为 )(s H 的系统,试讨论信号参数的取值,使得输出信号的失真小。 (选其中一个信号) 1-1信号参数 2 幅频谱和相频谱 将其分解为三角函数表示形式的傅里叶级数, 式中00 2= =2w T π π 。 所以0001111 (t)=(sin(w t)+sin(2w t)+sin(3w t)+223 w π-…)

转换为复指数展傅里叶级数: 当n=0时,01 = =22 A c ,0=0? ; =1,2,3,n ±±±当… 时, 111 222n n c A n π=== , 3 频率成分分布 由信号的傅里叶级数形式及可以看出,锯齿波是由一系列正弦波叠加而成,正弦波的频率由0w 到20w ,30w ……,其幅值由A π 到2A π,3A π,……依次减小,各频率成分的相位都为0。 3.1 H(s)伯德图 3.1.1 一阶系统1 ()1 H s s τ= +伯德图 ` M a g n i t u d e (d B ) 10 10 10 10 10 10 P h a s e (d e g ) Bode Diagram Frequency (rad/s) 10 1010101010 P h a s e (d e g ) Bode Diagram Frequency (rad/s)

哈工大数电大作业

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础 设计题目:血型与状态机 院系: 班级: 设计者: 学号: 哈尔滨工业大学

血型逻辑电路设计 一实验目的 1.掌握采用可编程逻辑器件实现数字电路与系统的方法。 2.掌握采用Xilinx_ISE软件开发可编程逻辑器件的过程。 3.学会设计血型能否输血的数字电路。 4.掌握Verilog HDL描述数字逻辑电路与系统的方法。 二设计要求 1.采用BASYS2开发板开关,LED,数码管等制作验证能否输血的电路。 2.采用Xilinx_ISE软件进行编程、仿真与下载设计到BASYS2开发板。三电路图 1.电路模块图(简化) 应用: 2.内部电路组成(简化)

四 编程 1.源程序 module xuexing(M, N, P, Q, E,F,G,OUT,CTL,clk,bi); input M; input N; input P;

output E; output[3:0] F; output[3:0] G; output[7:0] OUT; output[3:0] CTL; reg E; reg[3:0] F; reg[3:0] G; reg[7:0] OUT; reg[7:0] OUT1; reg[7:0] OUT2; reg[7:0] OUT3; reg[7:0] OUT4; reg[3:0] CTL=4'b1110; output bi; reg bi; integer clk_cnt; reg clk_400Hz; always @(posedge clk) //400Hz扫描信号if(clk_cnt==32'd100000) begin clk_cnt <= 1'b0; clk_400Hz <= ~clk_400Hz; end else clk_cnt <= clk_cnt + 1'b1; //位控制 reg clk_1Hz; integer clk_1Hz_cnt; //1Hz发声信号 always @(posedge clk) if(clk_1Hz_cnt==32'd2*******-1) begin clk_1Hz_cnt <= 1'b0; clk_1Hz <= ~clk_1Hz; end else clk_1Hz_cnt <= clk_1Hz_cnt + 1'b1; always @(posedge clk_400Hz) CTL <= {CTL[2:0],CTL[3]}; //段控制 always @(CTL) case(CTL) 4'b0111: OUT=OUT1; 4'b1011:

电大英语1(I)形考第6单元作业答案

开放英语1 / ? unit6 / ? Unit6 Review and Assessment(Units1-5)复习与自测电大形考答案

Grammar & Function 题目2 正确 获得4分中的4分 标记题目 题干 I sometimes go to the pub _____ Friday _____ my colleagues. 选择一项: A. on, with B. at, to

C. in, for 反馈 正确答案是:on, with 题目3 正确 获得4分中的4分 标记题目 题干 _____ people are my friends. _____ people are my husband’s friends.选择一项: A. These, Those B. This, That C. Here, There 反馈 正确答案是:These, Those 题目4 正确 获得4分中的4分 标记题目 题干 I am _____ engineer. 选择一项: A. a B. an

C. / 反馈 正确答案是:an 题目5 正确 获得4分中的4分 标记题目 题干 A: Hello, Linda, how are you? B: ______________________ 选择一项: A. Very good. Are you good? B. Very well, thank you. And you? C. Hello, Rose, how do you do? 反馈 正确答案是:Very well, thank you. And you? 题目6 正确 获得4分中的4分 标记题目 题干 A: What does your father do in the factory? B: ___________________. 选择一项: A. He is responsible for the central computer system

哈工大数字电子技术基础习题册答案7和10(修改)

第7章 时序逻辑电路 【7-1】已知时序逻辑电路如图7.1所示,假设触发器的初始状态均为0。 (1 )写出电路的状态方程和输出方程。 (2) 分别列出X =0和X =1两种情况下的状态转换表,说明其逻辑功能。 (3) 画出X =1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形。 1J 1K C11J 1K C1Q 1 Q 2 CP X Z 1 图7.1 解: 1.电路的状态方程和输出方程 n 1n 2n 11n 1Q Q Q X Q +=+ n 2n 11n 2Q Q Q ⊕=+ CP Q Q Z 21= 2 .分别列出X =0和X =1两种情况下的状态转换表,见题表7.1所示。逻辑功能为 当X =0时,为2位二进制减法计数器;当X =1时,为3进制减法计数器。 3.X =1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形如图7.1(b)所示。 题表7.1 Q Q Z 图7.1(b) 【7-2】电路如图7.2所示,假设初始状态Q a Q b Q c =000。 (1) 写出驱动方程、列出状态转换表、画出完整的状态转换图。 (2) 试分析该电路构成的是几进制的计数器。 Q c

解: 1.写出驱动方程 1a a ==K J n c n a b b Q Q K J ?== n b n a c Q Q J = n a c Q K = 2.写出状态方程 n a 1 n a Q Q =+ n a n a n a n a n c n a 1n b Q Q Q Q Q Q Q +=+ n c n a n c n b n a 1n b Q Q Q Q Q Q +=+ 3.列出状态转换表见题表7.2,状态转换图如图7.2(b)所示。 图7.2(b) 表7.2状态转换表 CP n a n b c Q Q Q 0 0 0 0 1 0 0 1 2 0 1 0 3 0 1 1 4 1 0 0 5 1 0 1 6 0 0 0 n 4.由FF a 、FF b 和FF c 构成的是六进制的计数器。 【7-3】在二进制异步计数器中,请将正确的进位端或借位端(Q 或Q )填入下表 解: 题表7-3 下降沿触发 由 Q 端引出进位 由Q 端引出借位 触发方式 加法计数器 减法计数器上升沿触发 由Q 端引出进位 由Q 端引出借位 【7-4】电路如图7.4(a)所示,假设初始状态Q 2Q 1Q 0=000。 1. 试分析由FF 1和FF 0构成的是几进制计数器; 2. 说明整个电路为几进制计数器。列出状态转换表,画出完整的状态转换图和CP 作用下的波形图。

哈工大数电大作业——学号后三位为模的计数器

数字电子技术应用Verilog HDL设计计数器 学院:航天学院 班级: 学号: 姓名: 教师:

设计要求:利用Verilog HDL设计一个以自己学号后三位为模的计数器。 设计步骤:首先我的学号后三位为114,因此计数器范围是0到113一共114个数。然后根据此要求编写功能程序以及激励源的相关程序,第三步在modelsim下进行实验调试,看所编程序能否实现预期功能,然后再把相关实验数据截图记录。 程序代码: modulejishuqi(out,reset,clk); output [7:0] out; inputreset,clk; reg [7:0] out; always @(posedgeclk) begin if(!reset)out<=8'h00; else if(out>=113)out=8'h00; else out<=out+1; end endmodule 激励源设置程序: `timescale 1 ns/ 1 ps modulejishuqi_test(); regclk; reg reset; wire [7:0] out; jishuqi i1 ( .clk(clk), .out(out), .reset(reset) ); initial begin #1 clk=0; #10 reset=0; #40 reset=1; end always #20 clk=~clk ; endmodule Modelsim仿真波形图:

注二进制数01110001化成十进制数为113,因此得到了正确的波形图。RTL Viewer Technology Map Viewer

电大网上形考管理英语1答案

单元自测1答案 二、翻译:从以下A、B、C三个选项中选出与英文最适合的中文翻译。 子问题1:C; 子问题2:A; 子问题3:A; 子问题4:B; 子问题5:A 二、听力理解:请听下面的对话,根据对话内容从A、B、C三个选项中选出一个最佳选项。 子问题1:meet; 子问题2:call; 子问题3:number; 子问题4:really; 子问题5:forward 二、阅读理解:阅读下面的短文,根据文章内容从A、B、C三个选项中选出一个最佳选项。 子问题1:A; 子问题2:A; 子问题3:B; 子问题4:C; 子问题5:B 二、阅读理解:阅读下面的短文,根据文章内容进行判断,正确写“T”错误写“F”。 子问题1:T; 子问题2:F; 子问题3:T; 子问题4:F; 子问题5:F

单元自测2答案 二、翻译:从以下A、B、C三个选项中选出与英文最适合的中文翻译。 子问题1:A; 子问题2:C; 子问题3:C; 子问题4:B; 子问题5:A 二、完形填空:阅读下面的短文,根据文章内容从A、B、C三个选项中选择合适的内容将短文补充完整。子问题1:B; 子问题2:A; 子问题3:C; 子问题4:C; 子问题5:B 二、阅读理解:阅读下面的短文,根据文章内容从A、B、C三个选项中选出一个最佳选项。 子问题1:B; 子问题2:C; 子问题3:C; 子问题4:B; 子问题5:A 二、阅读理解:阅读下面的短文,根据文章内容进行判断,正确为“T”,错误为“F”。 子问题1:F; 子问题2:T; 子问题3:T; 子问题4:F; 子问题5:T

单元自测3答案 二、阅读理解:阅读下面的短文,根据文章内容进行判断,正确写“T”错误写“F” 子问题1:F; 子问题2:F; 子问题3:T; 子问题4:F; 子问题5:T 二、听力理解:请听下面的对话,根据对话内容进行判断,正确写“T”错误写“F”。 子问题1:F; 子问题2:T; 子问题3:T; 子问题4:F; 子问题5:F 二、阅读理解:阅读下面的短文,根据文章内容从A、B、C三个选项中选出一个最佳选项。子问题1:B; 子问题2:C; 子问题3:C; 子问题4:A; 子问题5:B

运筹学大作业 哈工大

课程名称:对偶单纯形法 一、教学目标 在对偶单纯形法的学习过程中,理解和掌握对偶问题;综合运用线性规划和对偶原理知识对对偶单纯形法与单纯形法进行对比分析,了解单纯形法和对偶单纯形法的相同点和不同点,总结出各自的适用范围;掌握对偶单纯形法的求解过程;并能运用对偶单纯形法独立解决一些运筹学问题。 二、教学内容 1) 对偶单纯形法的思想来源(5min) 2) 对偶单纯形法原理(5min) 3) 总结对偶单纯形法的优点及适用情况(5min) 4) 对偶单纯形法的求解过程(10min) 5) 对偶单纯形法例题(15min) 6) 对比分析单纯形法和对偶单纯形法(10min) 三、教学进程: 1)讲述对偶单纯形法思想的来源: 1954年美国数学家C.莱姆基提出对偶单纯形法(Dual Simplex Method )。单纯形法是从原始问题的一个可行解通过迭代转到另一个可行解,直到检验数满足最优性条件为止。对偶单纯形法则是从满足对偶可行性条件出发通过迭代逐步搜索原始问题的最优解。在迭代过程中始终保持基解的对偶可行性,而使不可行性逐步消失。因此在保持对偶可行性的前提下,一当基解成为可行解时,便也就是最优解。 2)讲述对偶单纯形法的原理 A.对偶问题的基本性质 依照书第58页,我们先介绍一下对偶问题的六个基本性质: 性质一:弱对偶性 性质二:最优性。如果 x j (j=1...n)原问题的可行解,y j 是其对偶问题可 行解,且有 ∑=n j j j x c 1 =∑=m i i i y b 1 ,则x j 是原问题的最优解,y j 是其对偶问题的最

优解。 性质三:无界性。如果原问题(对偶问题)具有无界解,则其对偶问题(原问题)无可行解。 性质四:强对偶性。如果原问题有最优解,则其对偶问题也一定有最优解。 性质五:互补松弛型。在线性规划问题的最优解中,如果对应某一约束条件的对偶变量值为零,则该约束条件取严格等式;反之如果约束条件取严格不等式,则其对应的对偶变量一定为零。 性质六:线性规划的原问题及其对偶问题之间存在一对互补的基解,其中原问题的松弛变量对应对偶问题的变量,对偶问题的剩余变量对应原问题的变量;这些互相对应的变量如果在一个问题的解中是基变量,则在另一问题的解中是非基变量;将这对互补的基解分别代入原问题和对偶问题的目标函数有z=w. B.对偶单纯形法(参考书p64页) 设某标准形式的线性规划问题,对偶单纯形表中必须有c j -z j ≤0(j=1...n),但b i (i=1...m)的值不一定为正,当对i=1...m ,都有b i ≥0时,表中原问题和对偶问题均为最优解,否则通过变换一个基变量,找出原问题的一个目标函数值较小的相邻的基解。 3)为什么要引入对偶单纯形法 从理论上说原始单纯形法可以解决一切线性规划问题,然而实际问题中,由于考虑问题的角度不同,变量设置的不同,便产生了原问题及其对偶问题,对偶问题是原问题从另外一个角度考虑的结果。用对偶单纯形法求解线性规划问题时,当约束条件为“≥”时,不必引入人工变量,使计算简化。 例如,有一线性规划问题: min ω =12 y 1 +16y 2 +15 y 3 约束条件 ?? ?? ???≥=≥+≥+0)3,2,1(3522 423121 i y y y y y i

(完整版)哈工大matlab期末考试题试题及答案(95分)分解,推荐文档

建议收藏下载本文,以便随时学习! 春季学期MATLAB期末作业 学院:机电工程学院 专业:机械制造设计及其自动化 学号: 班号: 姓名: 我去人也就有人!为UR扼腕入站内信不存在向你偶同意调剖沙

2013年春季学期 MATLAB 课程考查题 姓名: 学号: 学院: 机电学院 专业: 机械制造 一、 必答题:1.matlab 常见的数据类型有哪些?各有什么特点? 常量:具体不变的数字 变量:会根据已知条件变化的数字 字符串:由单引号括起来的简单文本 复数:含有复数的数据 2.MATLAB 中有几种帮助的途径? (1)帮助浏览器:选择view 菜单中的Help 菜单项或选择Help 菜单中的 MATLAB Help 菜单项可以打开帮助浏览器; (2)help 命令:在命令窗口键入“help” 命令可以列出帮助主题,键入 “help 函数名”可以得到指定函数的在线帮助信息; (3)lookfor 命令:在命令窗口键入“lookfor 关键词”可以搜索出一系列 与给定关键词相关的命令和函数 (4)模糊查询:输入命令的前几个字母,然后按Tab 键,就可以列出所有以 这几个字母开始的命令和函数。 注意:lookfor 和模糊查询查到的不是详细信息,通常还需要在确定了具体 函数名称后用help 命令显示详细信息。 3.Matlab 常见的哪三种程序控制结构及包括的相应的语句? 1.顺序结构:数据输入A=input(提示信息,选项) 数据输出disp(X) 数据输出fprintf(fid,format,variables) 暂停pause 或 pause(n) 2.选择结构: If 语句: if expression (条件) statements1(语句组1) else statements2(语句组2)建议收藏下载本文,以便随时学习!我去人也就有人!为UR扼腕入站内信不存在向你偶同意调剖沙

2015电大开放英语1形成性考核册答案(完整版)

电大开放英语《一》(1)形成性考核 册开放英语(1)作业1 第一部分交际用语(10分) 1一5小题:阅读下面的小对话,选择正确答案,并将所选项的字母符号写在各题前的()中,红色为参考答案。(每题2分,共10分) (A)1.— How old is the manager? — He is 35 years old. A. Right. B. Wrong. (A)2.— What do they do? — They work in a bank. A. Right. B. Wrong. (B)3.— Would you like some crisps? —No, I’m sorry. A. Right. B. Wrong. (B)4.— How much does the flat cost a month? —It’s on the tenth floor. A. Right. B. Wrong. (A)5.— Could you sign the register, please?.

— Of course. A. Right. B. Wrong. 第二部分词汇与结构(40分) 6-25小题:阅读下面的句子,选择正确答案,并将所选项的字母符号写在各题前的()中。(每题2分,共40分) ( B )6. He __________ for an IT company. A. work B. works C. working ( A )7. I have coffee _______ breakfast time. A. at B. in C. on ( C )8. ______ name is Wanghua. A. He B. He’s C. His ( C )9. She is _______ only accountant in my son's company. A. a B. an C. the ( C )10. Maria often has a walk with ________parents in the morning. A. she B. their C. her ( B )11. ___________ you got any family?

数电大作业

数电作业 课程名称:数字电子技术基础课程时间:2015年秋 授课教师:康磊 学生姓名:XXX 学生班级: 学生学号:

联系电话: 哈尔滨工业大学英才学院 2015年12月 大作业一 一、设计目的

利用Verilog HDL设计一个电路,使其可以检测输入的一段由二进制数组成的序列,若序列中有连续的三个或者三个以上的1,则电路下一个时钟到来时输出为1,否则为0。状态转换图如图所示。 二、设计步骤 1、安装ISE14.2,并学会如何仿真。 2、根据状态图编写verilog程序。 3、仿真并生成仿真波形图。 4、保存项目并完成报告。 三、程序源代码 1、主程序 `timescale 1ns / 1ps module shudian1(clk,rst,din,out); input clk,rst,din; output out; reg[2:1] y, Y; reg out; parameter A=2'b00,B=2'b01,C=2'b10,D=2'b11; always @(posedge clk or negedge rst) begin if(!rst)y <= A;

elsey <= Y; end always@(y or din) begin case(y) A: begin out = 0; if(din) Y=B; else Y=A; end B: begin out = 0; if(din) Y=C; else Y=A; end C: begin out = 0; if(din) Y=D; else Y=A; end D: begin out = 1; if(din) Y=D; else Y=A; end default:begin out = 0; Y = A; end endcase end endmodule 2、测试程序 `timescale 1ns / 1ps module sudian11; // Inputs reg clk; reg rst; reg [20:0]data; assign din=data[20]; // Outputs wire out;

数电大作业

子技术》大作业 电子技术基础是一门实践性很强的课程。数字电路大作业是在学完本门课程后,对所学知识的综合性考察。大作业分成两种形式:理论设计和实物制作,大家可以自由选择,要求附后。希望同学们借助教材、参考书以及互联网等,充分发挥想象力和创造力,认真完成本次大作业。 【理论设计要求】 1.每3人一组,完成其中一个题目。每人写出一份设计报告,而每组只提交一份报告,网上提交,完成时间截至第18周,逾期系统自动关闭。 2.鼓励有条件的同学应用Multisim或Orcad/Pspice等软件对所设计电路进行仿真。 3.不能使用单片机实现。 4.将对设计报告进行评定打分并作为平时成绩计入期末总成绩。 【实物制作要求】 1.制作出一个实用的电子电路,具体不限,能成功演示其功能。 2.一人或者两人一题。 3.写出简单的设计说明,并于网上提交。 4.将对实物制作情况评定打分并作为平时成绩计入期末总成绩。 【报告内容】 1. 目录 2. 设计目的及要求

工作原理、系统方框图 4. 各部分选定方案及电路组成、相关器件说明 5. 调试过程(如果没做实物,可免) 6. 设计结论 7 设计心得与总结(要具体落实到小组各成员) 8. 参考文献 9. 附录 附录一:元器件清单 附录二:总体设计图 附录三:仿真结果(可无) 附录四:小组各成员所做工作说明(设计者排序),每个成员对最终方案的贡献(哪个地方是谁设计的) 【理论设计题目】 NO.1 设计一个输血—受血判别电路,当输血者和受血者的血型符合相关规则,配型成功,受血者可以接受输血者提供的血液,用LED指示配型成功与否。 NO.2 循环彩灯电路

国开电大管理英语1形考任务单元自测1--8试题及答案

国开电大管理英语1形考任务单元自测1--8 试题及答案 单元自测1 Please call me Mary. That's my first name. : How are you? ; Are you Mary? ; How shall I address you?" "题目:—Excuse me, —Yes, it's 8633-2788. If you have any other questions, don't hesitate to ask. : Why my telephone doesn’t work? ; When shall we have lunch? ; could you please tell me what's the telephone number on my desk?" "题目:—Hello, I'm David Chen. Nice to meet you. — . : Very nice. ; Nice to meet you too. ; Are you?"

"题目:—Hi, I'm Melinda Smith, the new secretary. Nice to meet you here. —Hi, I'm Mike Brown from the Training Center. : That's OK. ; I hope you'll be happy working here. ; See you later." "题目:—Sally, , Mary Brown. —Nice to meet you. I'm Sally Johnson, the Sales Manager. : What a pleasure ; this is our new secretary ; Thanks a lot" "题目:“Isn't it impolite to call people by their first names?”The underlined word is of the same word class as . : work ; possibly ; interesting" "题目:Don't be afraid ask a lot of questions. : to ; on ; of"

哈工大机械原理大作业凸轮

机械原理大作业二 课程名称: _______ 设计题目: 凸轮机构设计 院 系: ------------------------- 班 级: _________________________ 设计者: ________________________ 学 号: _________________________ 指导教师: ______________________ 哈尔滨工业大学 Harbin I nstituteof Techndogy

设计题目 如右图所示直动从动件盘形凸轮机构,选择一组凸轮机构的原始参数, 据此设计该凸轮机构。 凸轮机构原始参数 二.凸轮推杆升程、回程运动方程及推杆位移、速度、加速度线图 凸轮推杆升程运动方程:冷3唱—亦(中] 156 12 .. v 」1 - cos()] 兀1 5 374.4 2 12 ? a 1si n( ) 兀 1 5 % t 表示转角, s 表示位移 t=0:0.01:5*pi/6; %升程阶段 s= [(6*t)/(5*pi)- 1/(2*pi)*si n(12*t/5)]*130; hold on plot(t,s);

t= 5*pi/6:0.01:pi; %远休止阶段 s=130; hold on plot(t,s); t=pi:0.01:14*pi/9; %回程阶段 s=65*[1+cos(9*(t-pi)/5)]; hold on plot(t,s); t=14*pi/9:0.01:2*pi; %近休止阶段 s=0; hold on plot(t,s); grid on % t表示转角,令3 1=1 t=0:0.01:5*pi/6; %升程阶段v=156*1*[1-cos(12*t/5)]/pi hold on plot(t,v); t= 5*pi/6:0.01:pi; %远休止阶段

电大开放英语I(1)形考作业答案

开放英语(1)形成性考核册答案 学习记录表1 1. parents photos buses lives halves children women teeth 2. sometimes often always 例句:I sometimes go to London He often drinks coffee at breakfast time. He doesn’t always work on Tuesday. 3. A. 表示此刻正在发生的事情或正在进行的动作,用现在进行时He’s talking to a customer. B. 现在进行时也可以表示这一段时期正在进行的活动,虽然在此时此刻这个活动可能没有进行。 Li Jun is working on a new database at the moment, but right now she is sleeping. 4. My name is … I am …years old. I live in Hohhot and I am a worker. 开放英语(1)作业1 (Units1~6) 第一部分 1.A 2. B 3. B 4. B 5. A. 第二部分 6. B 7. A 8. C 9. C 10. C 11. B 12. B 13. C 14. C 15. C

16. B 17. A 18. B 19. B 20. A 21. B 22. A 23.C 24.C 25.A 第三部分:句型转换 26. Is he a manager? 27. Does she usually go to work by bus? 28. Are there fifty students in the class? 29. Have they a large house? 30. Is he currently working on TV advertisements? 第四部分:阅读理解 31. B 32. A 33. A 34. B 35. B 36. B 37. B 38. B 39.B 40. C 第五部分:翻译 41. 会议室都在一楼。 41. 他正在和一个顾客谈话。 43. 大卫午饭时间通常在办公室吃三明治。 44. 我预定了一个单人间。 45.我正在等来自上海我的老板的一个重要电话。 学习记录表2 1. a. Could you + do sth? Could you spell it, please? Yes, of course. b. What? What would you like to drink? I’d like a gin and tonic, please. c. What about + v-ing? What about seeing this flat?

哈工大数控技术课大作业

《数控技术》课程大作业 院(系)机电工程学院 专 业机械制造及其自动化 姓 名 学 号 班 号 完成日 期

哈尔滨工业大学机电工程学院 第一作业:加工中心零件加工编程 一、目的和要求 本作业通过给定一台数控机床具体技术参数和零件加工工艺卡,使学生对数控机床具体参数、加工能力和加工工艺流程有直观了解和认识。同时,锻炼学生解决实际加工问题的能力。 1.了解加工中心的具体技术参数,加工范围和加工能力; 2.了解实际加工中,从零件图纸分析到制定零件加工工艺过程; 3.按照加工工艺编写指定的工序的零件数控加工程序。 二、数控机床设备 (1)机床结构 主要由床身、铣头、横进给、升降台、冷却、润滑及电气等部分组成。XKJ325-1数控铣床配用GSK928型数控系统,对主轴和工作台纵横向进行控制,用户按照加工零件的尺寸及工艺要求,先编成零件的加工程控,最后完成各种几何形状的加工。 (2)机床的用途和加工特点 本机床适用于多品种中、小批量生产的零件,对各种复杂曲线的凸轮、孔、样板弧形糟等零件的加工效能尤为显著;该机床高速性能好,工作稳定可靠,定位精度和重复精度较高,不需要模具就能确保零件的加工精度,减少辅助时间,提高劳动生产率。 (3)加工中心的主要技术参数 数控机床的技术参数,反映了机床的性能及加工范围。

进给切削进行速度mm/min 1-4000 快速移动速度m/min 15/10 刀库刀库容量把20(24) 刀具尺寸/重量mm/kg ?80×300/8 换刀时间s 气液动7S凸轮3S 加工能力钻孔能力mm ?32 镗孔能力mm ?80 攻丝能力mm M24 铣削能力Cm3/min 100 位置精度定位精度mm ±0.005 重复定位精度mm ±0.003 其它气源气压L/min,b ay 250 5-7 机床重量(净重/毛重) T 7.5/8.5 机床外型尺寸mm 2756×2696×3000 包装箱尺寸mm 3840×2545×3080 三、加工工艺制订 (一)加工零件 加工图1零件,材料HT200,毛坯尺寸长*宽*高为170×110×50mm,试分析该零件的数控铣削加工工艺、如零件图分析、装夹方案、加工顺序、刀具卡、工艺卡等,编写加工程序和主要操作步骤。 图1 加工零件图

2018电大网上形考管理英语1答案

单元自测1答案 二、翻译:从以下A、B、C三个选项中选出与英文最适合的中文翻译。 子问题1:C; 子问题2:A; 子问题3:A; 子问题4:B; 子问题5:A 二、听力理解:请听下面的对话,根据对话内容从A、B、C三个选项中选出一个最佳选项。子问题1:meet; 子问题2:call; 子问题3:number; 子问题4:really; 子问题5:forward 二、阅读理解:阅读下面的短文,根据文章内容从A、B、C三个选项中选出一个最佳选项。子问题1:A; 子问题2:A; 子问题3:B; 子问题4:C; 子问题5:B 二、阅读理解:阅读下面的短文,根据文章内容进行判断,正确写“T”错误写“F”。 子问题1:T; 子问题2:F; 子问题3:T; 子问题4:F; 子问题5:F 二、翻译:从以下A、B、C三个选项中选出与英文最适合的中文翻译。 子问题1:A; 子问题2:C; 子问题3:C; 子问题4:B; 子问题5:A 二、完形填空:阅读下面的短文,根据文章内容从A、B、C三个选项中选择合适的内容将短文补充完整。子问题1:B; 子问题2:A; 子问题3:C; 子问题4:C; 子问题5:B 二、阅读理解:阅读下面的短文,根据文章内容从A、B、C三个选项中选出一个最佳选项。子问题1:B; 子问题2:C; 子问题3:C; 子问题4:B; 子问题5:A 二、阅读理解:阅读下面的短文,根据文章内容进行判断,正确为“T”,错误为“F”。 子问题1:F; 子问题2:T; 子问题3:T; 子问题4:F; 子问题5:T 二、阅读理解:阅读下面的短文,根据文章内容进行判断,正确写“T”错误写“F” 子问题1:F; 子问题2:F; 子问题3:T; 子问题4:F; 子问题5:T 二、听力理解:请听下面的对话,根据对话内容进行判断,正确写“T”错误写“F”。 子问题1:F; 子问题2:T; 子问题3:T; 子问题4:F; 子问题5:F 二、阅读理解:阅读下面的短文,根据文章内容从A、B、C三个选项中选出一个最佳选项。子问题1:B; 子问题2:C; 子问题3:C; 子问题4:A; 子问题5:B 一、翻译:从以下A、B、C三个选项中选出与英文最适合的中文翻译。 子问题1:C; 子问题2:A; 子问题3:B; 子问题4:C; 子问题5:A 二、听力理解:请听下面的对话,根据对话内容进行判断,正确写“T”错误写“F”。 子问题1:T; 子问题2:F; 子问题3:F; 子问题4:T; 子问题5:T 二、阅读理解:阅读下面的短文,根据文章内容从A、B、C三个选项中选出一个最佳选项。子问题1:C; 子问题2:B; 子问题3:B; 子问题4:B; 子问题5:A 二、阅读理解:阅读下面的短文,根据文章内容进行判断,正确写“T”错误写“F”。 子问题1:T; 子问题2:T; 子问题3:T; 子问题4:F; 子问题5:F 单元自测5答案 二、完型填空:阅读下面的短文,根据文章内容从A、B、C三个选项中选出一个最佳选项将其补充完整。子问题1:A; 子问题2:B; 子问题3:A; 子问题4:C; 子问题5:B 二、听力理解:请听下面的对话,根据对话内容从A、B、C三个选项中选出一个最佳选项。子问题1:B; 子问题2:C; 子问题3:A; 子问题4:C; 子问题5:B 二、阅读理解:阅读下面的短文,根据文章内容进行判断,正确写“T”错误写“F”。 子问题1:T; 子问题2:F; 子问题3:T; 子问题4:F; 子问题5:F 二、完型填空:阅读下面的短文,根据文章内容从A、B、C三个选项中选出一个最佳选项将其补充完整。子问题1:B; 子问题2:A; 子问题3:C; 子问题4:A; 子问题5:C 二、翻译:从以下A、B、C三个选项中选出与英文最适合的中文翻译。 子问题1:B; 子问题2:A; 子问题3:C; 子问题4:C; 子问题5:B 二、阅读理解:阅读下面的短文,根据文章内容从A、B、C三个选项中选出一个最佳选项。子问题1:C; 子问题2:B; 子问题3:A; 子问题4:C; 子问题5:B

哈工大数电大作业-作业1-计数器

哈工大数电大作业-作业1-计数器 数电大作业 1 计数器 一、实验目的 1.学习使用Verilog HDL语言,并学会使用进行Quartus H软件编 程和仿真; 2.掌握数字电路的设计方法,熟悉设计过程及其步骤; 3.培养学生的动手能力,能学以致用,为今后从事电子线路设计 打下良好基础; 4.巩固加深对数电知识的理解,在仿真调试过程中,能结合原理 来分析实验现象; 二、实验内容 1.设计内容及要求 1)利用 Verilog HDL 设计一个以自己学号后三位为模的计数器; 2)编写源程序;

3)给出仿真电路图和仿真波形图; 2.需求分析: 由于本人的学号为 7112130501,后 3 位为 501,为便于观察,选取中间三位为进制来编写加法计数器,以保证与他人的区别性,即编一个以 213 为模的加法计数器。若采用同步清零的方法,则计数为 0~212,化为二进制数即为 0 0000 0000计到 0 1101 0100。

3. 编写源代码: module count_213(out, data, load, reset, elk); output [8:0] out; i 叩ut [8:0] data; input load ,reset, elk; reg [8:0] out; always ?(posedge elk) begin 辻(!reset)out=9, hOOO; else if (load)out=data; else if (out>=212)out=9, hOOO; else out=out+1; end endmodule 程序说明: 该计数器为一个9位计数器,计数范围0~212,具有同步同 步置数和同步清零功能。时钟的上升沿有效,当elk 信号的上升 沿到来时,如果清零信号为0,则清零;若不为0,计数器进行计 数,计至212处同步清零。 4. 画出仿真电路图: 图1为同步置数、同步清零加法计数器的仿真电路图 //elk 上升沿触发 〃同步清零,低电平有效 //同步预置 〃计数最大值为212,超过清零 〃计数

电大--英语1--形考作业--及答案

()1.----The shower isn’t working. ----_________________________. A.I come to call the plumber B.I’ll call the plumber C.I like calling the plumber ( )2.----What do you do? ----__________________. A.I am washing the dishes now B.I am a policeman C.I do my homework ( )3.----_______________________? ----An orange juice,please. A.What do you like B.What would you like C.Would you like an orange juice ( )4.----_________________________? ----She is talking to Mary. A.What is she doing right now B.What does she do C.What is she talking about ( )5.----Hello.I’m David Manning.Nice to meet you. ----__________________________. A.I’m nice,too B.Fine,thank you C.Nice to meet you,too.I’m Xiaoyan 1. B 2. B 3. B 4. A 5. C ( ) 6.He ______at a school. A.work B.works C.working ( )7.I usually get up ______7 o’clock in the morning. A at B.in C.on ( )8.The Business Banking Departments is on ______floor. A.second B.the two C.the second ( )9.David is ______only accountant in my father’s company. A.a B.an C.the ( )10.Mr Green is now ______ a holiday. A.in B.with C.on ( )11. _______ you got any family? A.Do B.Have C.Has ( )12. Mark is responsible ______ the international market. A.in B.for C.of ( )13. ______ name is Clair. A. She B.She’s C.Her ( )14. I am ______ a new marketing campaign at the moment. A. plan B.planing C.planning ( )15. Polly enjoys ______the guitar in a band in her free time. A.play B.to play C.playing ( )16.There ______ three plants in the office. A.is B.are C.have ( )17. He works in ______ Finance Department(财务处) of ______ large company. A.the,a B.a,the C.a,a ( )18. What ______“nr” mean? A.is B.does C.do ( )19.I sometimes go to the pub ______ Friday. A.in B.on C.at ( )20.____________ people are my friends. _______people are my husband’s friends. A. There,Those B.This,That C.Here,There ( )21.He ______ in New York City.His parents ______in Dallas. A.live,lives B.lives,live C.live,live ( )22.My husband doesn’t ______,but I like it very much. A.like shopping B.likes shopping C.likes to shop ( )23.Where ______ your parents live? A. are B.do C.does ( )24.I’m ______ university student. A. a B. an C. the ( )25. ______ does the flat cost a month? A. How many B.How much C.How about 6. B 7. A 8. C 9. C 10. C 11. B 12. B 13. C 14. C 15. C 16. B 17. A 18. B 19. B 20. A 21. B 22. A 23. B 24. A 25. B Helen’s husband is Mark.Mark and Helen have got two children:a son and a daughter.The son’s name is Andrew and the daughter’s name is Joyce.Joyce has got two children,a son and a daughter.So Helen and Mark have got two grandchildren.Helen’s granddaughter’s name is Pam and her grandson’s name is Dan.Aadrew isn’t married and he hasn’t got any children.Pam and Dan like playing on the computer with their uncle.Andrew also likes playing football with his brother-in-law,Tom. ( )26.Who is Andrew? A.Aandrew is Dan’s father. B.Andrew is Dan’s uncle. C.Andrew is Dan’s grandfather. ( )27.Who is Mark? A.Mark is Dan’s father. B.Mark is Dan’s uncle. C.Mark is Dan’s grandfather. ( )28.Who is Tom? A.Tom is Dan’s father B.Tom is Dan’s uncle C.Tom is Dan’s grandfather. ( )29.Who is Joyce? A.Joyce is Tom’s wife. B.Joyce is Helen’s son. C.Joyce is Andrew’s sister-in-law. ( )30.Who is Pam? A.Pam is Andrew’s niece. B.Pam is Joyce’s sister. C.Pam is Helen’s grandson..

相关主题
文本预览
相关文档 最新文档